SystemVerilog语法基础——9高级语法(3):预编译命令

高级语法(3):预编译命令

前言

视频语法学习:B站链接
笔记原地址:https://github.com/Tan-YiFan/DigitalLogic-Autumn2020/tree/syntax/syntax网络不好,可能打不开。

预编译命令

C语言中的部分预编译命令:

#include <stdio.h>

#ifndef __SHARE_H
#define __SHARE_H

#endif

#define N 1000000 + 3

// int a[N];

有了预编译命令,就可以用利用头文件,提升代码易读性。

sv中的预编译命令,和c语言基本一致(c用#号),用`(反引号)开头:

`include "mips.svh" // sv头文件后缀为svh
					// vivado把同一project的所有文件视为同一目录下,故include时无需加目录
`ifndef __SHARE_SVH
`define __SHARE_SVH

`endif

`define LINES 0x10

logic a[`LINES-1:0]; // 使用宏时,也需要以`开头

预编译命令可以达到以下效果:

  1. 配置一些参数。类似于parameter语句。

  2. 根据不同的参数,生成不同的电路。不同于mux。

         generate if语句的粒度为电路语句。而`ifdef之类的预编译命令可以是任意粒度的。
    
assign a = b + c
    #ifdef D_INSIDE
    + d
    #endif
;

generate if (D_INSIDE) begin
    assign a = b + c + d;//两个加法器
end else begin
	assign a = b + c;//一个加法器 
end
  1. 使用头文件。类似于package语句。
  2. 使用宏来封装一些功能。部分情况下可用function语句代替。
  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
SystemVerilog是一种硬件描述语言(HDL),用于描述数字电路的行为和结构。它是Verilog语言的扩展,引入了许多新的特性和语法。 以下是SystemVerilog的一些基础语法: 1. 模块定义:使用`module`关键字定义模块,模块是SystemVerilog中的基本构建单元。例如: ``` module MyModule(input wire clk, input wire rst, output reg data); // 模块内部逻辑 endmodule ``` 2. 端口声明:模块的输入输出端口使用`input`和`output`关键字声明,可以使用`wire`或`reg`类型进行声明。例如: ``` input wire clk; // 输入端口 input wire rst; output reg data; // 输出端口 ``` 3. 数据类型:SystemVerilog支持多种数据类型,包括整数、浮点数、位向量、数组等。例如: ``` int a; // 整数类型 real b; // 浮点数类型 bit [7:0] c; // 8位位向量类型 reg [3:0] d; // 4位寄存器类型 int e [3:0]; // 整数数组类型 ``` 4. 运算符:SystemVerilog支持常见的运算符,包括算术运算符、逻辑运算符、位运算符等。例如: ``` a = b + c; // 加法 d = a & b; // 位与 e = (a > b) ? c : d; // 条件运算符 ``` 5. 控制语句:SystemVerilog支持常见的控制语句,如条件语句、循环语句等。例如: ``` if (a > b) begin // 执行语句 end else if (a < b) begin // 执行语句 end else begin // 执行语句 end for (int i = 0; i < 10; i++) begin // 循环体语句 end while (condition) begin // 循环体语句 end ``` 这些是SystemVerilog的一些基础语法,还有其他更高级的特性和语法可以用于描述复杂的数字电路。希望这些信息对你有帮助!如果你还有其他问题,请继续提问。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值