FPGA学习—通过点亮一个LED灯来学习FPGA的设计流程(Quartus II)

这篇博客通过野火的Altera Cyclone IV EP4CE10开发板,以点亮LED灯为例,详细介绍了FPGA设计流程。从规划设计、波形绘制到代码编写、编译、仿真、管脚绑定、综合编译、上板验证直至程序固化,每个步骤都有清晰的说明和操作示例。通过这个过程,读者能理解Verilog HDL和FPGA设计的基础知识。
摘要由CSDN通过智能技术生成

来源野火的线上视频教学,使用的是野火的Altera cycloneⅣ EP4CE10开发板,使用的硬件语言是Verilog。Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。使用的软件是Quartus II

 

2.1 设计流程学习:点亮一个LED灯

介绍:以点亮一个led灯为例子,介绍FPGA的基本设计流程,包括:① 规划设计、② 波形绘制、③ 代码编写、④ 代码编译、⑤ 仿真文件编写及代码仿真、⑥ 管脚绑定、

⑦ 综合编译、⑧ 上板验证、⑨ 程序固化等步骤。

 

① 规划设计:使用按键Key1点亮Led6,Key1→Led6

根据硬件电路图(如2-1-1所示),按键按下时,输出的是低电平,按键未按下时,输出的是高电平。

<

  • 1
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值