FPGA学习02—实现简单的组合逻辑电路

组合逻辑是VerilogHDL设计中的一个重要组成部分。从电路本质上讲,组合逻辑电路的特点是输出信号只是当前时刻输入信号的函数,与其他时刻的输入状态无关,无关存储电路,也没有反馈电路。

  

2.2.1 多路选择器

① 多路选择器的设计思路是两个按键输入(这里命名为in_1和in_2),再设一个按键为选择选择按键(sel),输出设为led灯(out)。绘制的波形图如下2-2-1所示:

图2-2-1

 

    ② 代码编写

module      mux2_1

    input  wire   [0:0]   in_1,

    input  wire          in_2,

    input  wire          sel,

 

    output     reg    out

);

 

always@(*)

    if(sel == 1’b1)

       out = in_1;

    else

       out = in_2;

endmodule

 

//这里使用了always语句,以及选择条件语句(if…else…),always语句中的*号表示检测该模块中的信号变化情况,当出现了信号跳变时,满足条件,执行该条件语句。

 

附:三种常用的组合逻辑的赋值方式如下图2-2-2:

图2-2-2

 

    ③ 仿真文件编写以及文件仿真,仿真结果如图2-2-3所示

`timescale 1ns/1ns

module tb_mux2_1();

 

reg  in_1;

reg  in_2;

reg  sel ;

  • 3
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值