UVM实战——lab1

本文详细介绍了UVM中针对APB总线的实现,包括master driver的drive_transfer()方法、master monitor的collect_transfer()方法、master sequence的apb_master_write_seq:body()以及slave driver的drive_response()方法的实现过程。
摘要由CSDN通过智能技术生成

APB的层次关系

在这里插入图片描述

实现APB master driver的drive_transfer()方法

task apb_master_driver::get_and_drive();
	forever begin
		seq_item_port.get_next_item(req);	//获取trans
		`uvm_info(get_type_name(), "sequencer got next item", UVM_HIGH)
		drive_transfer(req);				//发送trans
		void'($cast(rsp, req.clone()));		//克隆req生成rsp
		rsp.set_sequence_id(req.get_sequence_id());		//rsp得到对应req的id
		seq_item_port.item_done(rsp);
		`uvm_info(get_type_name(), "sequencer item_done_triggered", UVM_HIGH)
	end
endtask: get_and_drive

task apb_master_driver::drive_transfer (apb_transfer t);
	`uvm_info(get_type_name(), "drive_transfer", UVM_HIGH)
	case(t.trans_kind)
		IDLE    : this.do_idle();
		WRITE   : this.do_write(t);
		READ    : this.do_read(t);
		default : `uvm_error("ERRTYPE", "unrecognized transaction type")
	endcase
endtask: drive_transfer

task apb_master_driver::do_write(apb_transfer t);
	`uvm_info(get_type_name(), "do_write ...", UVM_HIGH)
	@(vif.cb_mst);
	vif.cb_mst.paddr <= t.addr;
	vif.cb_mst.pwrite <= 1;
	vif.cb_mst.psel <= 1;
	vif.cb_mst.penable <= 0;
	vif.cb_mst.pwdata <= t.data;
	@(vif.cb_mst);
	vif.cb_mst.penable <= 1;
	repeat(t.idle_cycles) this.do_idle();		//默认两个trans之间有一个周期的idle
endtask: do_write

task apb_master_driver::do_read(apb_transfer t);
	`uvm_info(get_type_name(), "do_read ...", UVM_HIGH)
	@(vif.cb_mst);
	vif.cb_mst.paddr <= t.addr;
	vif.cb_mst.pwrite <= 0;
	vif.cb_mst.psel <= 1;
  	vif.cb_mst.penable <= 0;
	@(vif.cb_mst);
	vif.cb_mst.penable <= 1;
	#100ps;		//模拟采样,避免delta cycle。留出足够的延迟确保数据写入正确
	t.data = vif.prdata;
	repeat(t.idle_cycles) this.do_idle();
endtask: do_read

task apb_master_driver::do_idle();
	`uvm_info
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值