modelsim仿真vivado ip核方法

modelsim仿真vivado ip核较仿真quartus的ip复杂很多。

一、开始时尝试将库导入modelsim中后再仿真,尝试了很多种网上的方法,但是都没有成功,又是重装modelsim又是处理modelsim.ini文件,特别是当你之前装过modelsim,再重装时很麻烦,modelsim.ini文件会出现很多bug,令人头疼不已。

二、最终使用vivado调用modelsim的方法,将tb文件在vivado中编辑,再在vivado中直接调用modelsim仿真,成功地仿真了vivado ip。

具体方法可以参考

https://blog.csdn.net/sissiyung/article/details/113592936?utm_medium=distribute.pc_relevant.none-task-blog-baidujs_title-4&spm=1001.2101.3001.4242

文章中的前三步(在vivado中调用modelsim进行仿真),在此感谢。

三、需要注意的问题:
过程中遇到一些重点问题,总结如下,大家可进行参考以节约时间。

1.vivado版本和modelsim版本需一一对应,如vivado2019.2对应modelsim2019.2,不然可能出现库代码编译不通过的问题。(本人使用的是vivado2018.3,对应modelsim10.6e)

2.本人由于做的是图像仿真,使用到了将.bmp图像导入modelsim并寄存,最后处理后输出.bmp图像的操作。

该操作在modelsim中是可以正常使用的(使用integer、$fopen等代码),但在vivado simulator是不可以的,但如果使用vivado调用modelsim,该操作是可以正常进行的。

这极大的方便了图像处理算法的仿真,同时可以调用xilinx的ip。

(关于这个仿真过程不再详解,有疑问的可以问我)

-------------------------------------------以上-------------------------------------------

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
使用Vivado进行IP核仿真的步骤如下: 1. 在Vivado中打开项目,并在左侧的IP Integrator窗口中添加所需的IP核。 2. 连接IP核并设置IP核的参数。您可以在IP Integrator中选择IP核并在属性窗口中设置参数。 3. 在设计完成后,保存并生成Bitstream文件。 4. 打开仿真工具(如ModelSim)并创建一个仿真项目。 5. 将IP核仿真文件添加到仿真项目中。您可以使用DDS Compiler仿真代码、仿真参数设置和仿真波形进行仿真。 6. 运行行为级仿真,确保IP核仿真环境中按预期工作。 7. 分析仿真结果并验证IP核的功能。 请注意,您可以参考具体的IP核文档和Vivado用户指南以获取更详细的仿真步骤和参数设置。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [Vivado_DDS IP核_设计与仿真](https://blog.csdn.net/weixin_44625313/article/details/125375522)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [Vivado FFT IP核例程](https://download.csdn.net/download/qq_41894385/85356944)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [vivado调用IP核(乘法器)仿真](https://blog.csdn.net/weixin_41096151/article/details/123832635)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值