systemverilog-数据类型(logic、数组、队列、结构体、枚举类、字符串)


verilog中的数据变量可以映射到实际的硬件中的线网和变量,而systemverilog由于主打对DUT的验证,所以我们要有一些软件的思想在里面,就添加了一些新的变量能够软件和硬件相互联系,并且能够更好在用软件的思想去编写。

Type 2-4 states signed/unsigned size SV/V
shortint 2 signed 16 SV
int 2 signed 32 SV
longint 2 signed 64 SV
byte 2 signed 8 SV
bit 2 unsigned SV
logic 4 unsigned SV
reg 4 unsigned V
net 4 unsigned V
integer 4 signed 32 V
real V
shortreal <
  • 0
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

zer0hz

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值