UVM基本介绍(UVM class hierarchy、验证平台、树状图)

本文介绍了UVM在芯片验证中的作用,如提供标准化验证平台和提高复用性。详细阐述了UVM类层级,包括uvm_object、uvm_component及其派生类的功能。UVM验证平台的组件如driver、monitor、sequencer和scoreboard的角色也被详细解释。此外,还提到了UVM树状图在仿真过程中的构建和执行流程。
摘要由CSDN通过智能技术生成

一、为什么使用UVM

随着芯片的功能逐渐增多以及愈发难以理解,通过仿真器的波形去检查验证设计变得越来越困难了。为了缩短和简化验证时间,我们需要标准化验证平台。由于RTL代码是使用verilog语言,但是验证没有标准化,不能够重复利用。UVM能够提供验证平台标准化,这里是我们会使用UVM的原因;
但是UVM的什么方面能够提高它的复用性呢?

  1. 各个模块的验证环境是独立封装的,对外不需要保留数据端口,因此便于环境的进一步集成复用。
    独立封装:独立封装可以专业点的叫法:自闭性。它是指单元组件只能可以成为独立行为,不需要依赖其他并行组件。
    与环境的关系:可以独立集成于top环境,互相的不需要额外的通信连接;
    与sv区别:在搭建验证环境时,如果例化driver需要generator;
  2. 环境框架搭建:phase机制保证顶层在调用各个子环境时,不需要考虑子环境之间的例化顺序,例如可能导致对象句柄悬空的情况发生。
  3. call back机制:如果把两个不同的项目不同地方使用call back函数来做,而把相同的地方写成一个完整的env,再重用时,只要
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

zer0hz

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值