域自动化(field automation)——UVM

一、域自动化(field automation)基础

1.1 核心基类(uvm_void)与uvm_object的关系

在这里插入图片描述

UVM世界都是由uvm_void派生得到了,但是这个uvm_void只是一个virtual class,成员变量和方法都等待后面的子类进行扩充。这里的uvm_object是继承uvm_void的,除了这个还有uvm_port_base<IF>,它是transaction interface类的父类。这里重点不是它,我们先关注uvm_object。

1.2 field automation相关的宏

`define uvm_field_int(arg,FLAG) //整型
`define uvm_field_enum
  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

zer0hz

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值