UVM-域的自动化

1.field automation机制

域的自动化宏声明应在uvm_object或uvm_component注册时发生,即在 'uvm_object_utils_begin'uvm_object_utils_end之间或者 'uvm_component_utils_begin'uvm_component_utils_end之间,声明要自动化的域。
在这两个宏之间,使用`uvm_field系列宏注册类中的所有字段(成员变量)。
类中的成员类型决定了要使用什么样的 uvm_field系列宏:如int型变量,使用uvm_field_int宏;string型变量,则使用 uvm_field_string宏等。

2 field automation机制的作用

uvm_object基类中主要提供的核心方法主要有:copy()、compare()、print() 等。那么在使用uvm_field 系列宏进行注册后,就可以直接调用基类中的这些常用方法,而无需自己定义,提高了验证平台搭建的效率。
一般而言,将sequence item类定义时,应当伴随着域声明,即利用 'uvm_object_utils_begin和 'uvm_object_utils_end完成。这是由于对于sequence item对象的拷贝、比较和打印等操作比较多,因此在定义sequence item类时,最好需要完成域的自动化声明。
相关的宏,分别如下:

`define uvm_field_int (ARG, FLAG)
`define uvm_field_real (ARG, FLAG)
`define uvm_field_enum (T, ARG, FLAG)
`define uvm_field_object (ARG, FLAG)
`define uvm_field_event (ARG, FLAG)
`define uvm_field_string (ARG, FLAG)

3.注册方法

在声明自动化的域时,除了要注意运用正确的宏来匹配域的成员类型 (ARG),还硬声明这些域将来参与的数据操作 (FLAG),对各种数据操作属性可以进行惰性指定,使用按位或操作符 “|”

`uvm_object_utils_begin(my_transaction)
	`uvm_field_int(data,UVM_ALL_ON)
	...
`uvm_object_utils_end

常使用的标志位,包括:

标志(FLAG)描述
UVM_COPY/UVM_NOCOPY打开/关闭 复制
UVM_PACK /UVM_NOPACK打开/关闭 byte流
UVM_ALL_ON所有功能都打开
UVM_PRINT / UVM_NOPRINT打开 / 关闭打印功能

将类中的变量注册后,可以使用UVM预定义好的函数:

函数名描述
copy用于实例的复制 ,如B.copy(A),即将A的内容复制给B
clone克隆一个对象,如果对象不存在则创建一个新对象
print打印内容,如B.print( ),即打印 B 对象中所有在域中注册的变量、数组等
pack_bytes将在域中注册的变量和数组等打包成byte流,输出到一个动态数组中
unpack_buyes传入一个动态数组,将所有内容根据域中注册的顺序,转换成类中的字段
pack打包成bit流
unpack将bit流注意恢复到类中
  • 2
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值