FPGA之序列检测的状态机实现

一、序列检测的原理

比如在FPGA和外设进行通讯时,一般会在发送数据之前,提前发送一段序列如1010110,当检测到发送的数据和正确序列一致时,我们就通过FPGA给外部设备发送一个flag标志信号。

二、实现方式-三段式状态机

首先要绘制状态转移图,确定状态个数及状态之间的跳转。状态转移图如下

序列检测状态转移图 序列检测状态转移图

三、代码

1、序列检测verilog源代码

//====================================================================
//						correct continuous number sequence 1010110
//=================&
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值