ModelSim: Module is not defined

问题:在使用modelsim仿真的时候,编译相关文件,再仿真tb文件时报错。报错一般发生在调用了IP核的情况下,如下图所示:

原因:未添加仿真库文件,即下图中的prim_sim.v文件

解决方法:

在project窗口,右键 添加 “存在的文件”,找到prim_sim.v文件,并编译。之后再仿真即可。

  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
问题的原因是在ModelSim中没有定义模块。这可能是因为在ModelSim工作区的work中没有包含相应的文件。通常情况下,这些文件会自动加载。如果出现这个错误,可能是加载过程出了问题,没有将相应的文件添加到testbench中。另外,还有可能是顶层文件设置有误,或者文件名字写错或地址错误。解决这个问题的步骤是在Quartus II中打开Assignments菜单,选择Settings,然后选择EDA Tool setting,再选择Simulation,接着选择Testbenches,点击new,选择要添加的文件,然后将文件名复制粘贴到testbench name栏中,最后点击Add和ok即可。\[1\]\[2\]\[3\] #### 引用[.reference_title] - *1* *3* [Quartus II联合ModelSim进行仿真出现错误:Module 'key_model' is not defined.](https://blog.csdn.net/weixin_43903101/article/details/104881032)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [modelsim 仿真中出现Modele ~~~ is not defined](https://blog.csdn.net/hhaoanpai/article/details/124673445)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值