sv接口中的clocking block、modport

一,在顶层模块例化下层模块和接口,将接口中的modport传入下层模块,如24行
二,定义modport的时候,将时钟块加入port list也就是括号内,如9行
三,下层模块的括号(port list)内不能传入时钟块,如25行,否则会报错如图2
四,总结就是只有接口和modport才能传入模块的信号列表中,要想使用时钟块,只能通过interface.cb和modport.cb来引用
在这里插入图片描述

在这里插入图片描述

  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值