PWM DAC

       在很多嵌入式的控制应用中,会用控制器内部集成DAC模块或独立的DAC芯片来产生模拟信号。但是,采用控制器的PWM (Pulse Width Modulation,脉冲宽度调制)信号来实现D/A变换也是一种常见的方法,在低成本设计中应用非常广泛,我们将讨论如何使用PWM来产生模拟信号,和PWM DAC的精度。

       PWM(Pulse Width Modulation,脉冲宽度调制)是一个周期固定但占空比可调的信号,如
下图所示。

       在整个 PWM周期中,高电平持续时间(TON)所占的比例,称为占空比。显然,TON时间将直接影响该周期的直流电压均值,TON时间越长,直流电压均值越大。该对应关系可理解为直流电压均值和 PWM的占空比是成线性关系的。

       如果在微控制器输出端对 PWM信号进行合适的滤波,我们可以产生可变的直流参考电压。在下图中,FFT(快速傅里叶变换)将 PWM的方波信号变换为等效频域信号,同时图中也给出了低通滤波器的频域响应。

        PWM信号转换成直流信号的硬件实现:利用控制器产生 PWM信号;PWM经过一阶模拟低通滤波器产生 dc电压。在 FFT图上,发生器产生的 PWM信号基频为 1/T,T为 PWM的周期;当设计模拟低通滤波器时,基频(fPWM)和信号频率之间的过渡带宽窄是设计关键;所使用的模拟滤波器的截止频率,决定了 PWM DAC的带宽。

 

       上图给出了 PWM信号产生直流电平的时域波形,PWM信号通过模拟低通滤波器,会产生一个带纹波的直流电压信号。电压纹波的形成是由于滤波电容的充电(在 PWM ON期间)和放电(在 PWM OFF期间)。这就是最基本的 PWM产生直流电平的原理。

       用 PWM信号产生模拟电平的另一个好处是可以利用数字方法传输模拟信号,从而在需要隔离的地方使用数字隔离器件,毕竟数字隔离器件比模拟隔离器便宜了许多。

 

 

 

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小叶爱吃汤圆

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值