fsdb 实用技巧

zh1、fsdb波形存入txt文件中

指定某信号输出到txt文件中:

fsdbreport tb.fsdb -exp "/tb/u_breath/clk & /tb/u_breath/rst & /tb/u_breath/cnt_flag " -s "/tb/u_breath/pwm_cnt" -of h -o fsdb.txt

将clk==1&rst==1&cnt_flag==1时对应的pwm_cnt数据写到fsdb.txt文件中;

指定某段时间的信号输出到txt文件中:

fsdbreport tb.fsdb -s /tb/u_breath/pwm_cnt -bt 101055ps -et 200000ps -of h -o fsdb_bt_et.txt

fsdbreport tb.fsdb -s /tb/u_breath/pwm_cnt -bt 101055ps -et 200000ps -w 32 -o fsdb_bt_et.txt

列出某个模块下的信号:

fsdbreport tb.fsdb -s /tb* -level 0 -bt 101055ps -et 200000ps -of h -o fsdb_cn.txt

fsdbreport tb.fsdb -s /tb* -level 0 -bt 101055ps -et 200000ps -cn 0 -of h -o fsdb_cn.txt

-find_forces显示某模块下的force,release,deposit信号值:

fsdbreport tb.fsdb -s /tb* -level 0 -find_forces -of h -o fsdb_forces.txt

fsdbreport -help可以查看更多选项

  • -s:指定要报告的信号或范围

  • -exp:当表达式为 true(==1) 时的报告值

  • -w 32是指定数据位宽

  • -bt :begin time

  • -et:end time

  • -of:定义输出显示格式为二进制、八进制、十进制、无符号十进制或十六进制

  • -o:指定输出报告的文件名

  • -level:指定要在指定范围内转储的级别数。此选项必须与 -s 一起使用

  • -cn:定义报告的列数,包括时间列, 0 表示所有满足的信号以一列形式显示;

Examples:

  1. Assign the begin time and end time for the report.

fsdbreport verilog.fsdb -s /system/addr -bt 1000ps -et 2000ps 
  1. Report a slice of a bus signal.

fsdbreport verilog.fsdb -s "/system/addr[7:4]"
  1. Report signals in the signal list with different formats.

fsdbreport fsdb/vhdl_typecase.fsdb -nocase -s top/A_SIMPLE_REC.FIELD3
    -a simple.field3 -w 15 TOP/A_COMPLEX_REC.F1.FIELD3 -a complex.f1.field3
    -w 20 top/a_std_logic_vector -af sean2.alias -of a -o output.txt -bt 1000 -et 2000
  1. Report a scope and its descendants. Multiple scopes may be specified.

fsdbreport rtl.dump.fsdb -bt 10 -et 100 -s "/system/i_cpu/*" -level 3 /system/i_pram/clock -cn 0
  1. Report the results for the specified strobe point using -strobe.

fsdbreport verilog.fsdb -strobe "/system/clock==1" -s /system/data/system/addr
  1. Report the results when the expression value changes to true.

fsdbreport verilog.fsdb -exp "/system/addr=='h30 & /system/clock==1" -s /system/data 
  1. Report the force, release or deposit information of the specified signals using -find_forces.

fsdbreport rtl.fsdb -find_forces -s "/system/i_cpu/*" -level 2 -o report.txt
  1. Report the force of the specified signals using -find_forces and -exclude_scope.

fsdbreport rtl.fsdb -find_forces -s "/system/i_cpu/*" -exclude_scope "/system/i_cpu/s1/*" "/system/i_cpu/s2" -o report.txt

2、fsdb 波形截取

fsdbextract source.fsdb -bt 1000ns -et 2000ns -o output.fsdb

source.fsdb是原始波形文件,1000ns 和 2000ns是截取波形的开始时间点与结束时间点,otuput.fsdb是截取出来的波形文件名;

3、fsdb2vcd

有时候需要利用VCD波形,比如进行功耗分析时,因此需要fsdb转换成vcd;

  • fsdb2vcd -h  即可查看相关的命令帮助;

全部转换

fsdb2vcd tb.fsdb -o tb.vcd

指定模块和时间:

fsdb2vcd tb.fsdb -s /system/i_cpu -level 1 -bt 10 -et 1000 -o tb.vcd

Examples:

  1. Translate all signals.

fsdb2vcd verilog.fsdb -o output.vcd
  1. Translate signals within a specified scope and time range.

fsdb2vcd verilog.fsdb -s /system/i_cpu -level 1 -bt 10 -et 1000 -o output.vcd
  1. Generate a summary for this FSDB without performing the translation.

fsdb2vcd verilog.fsdb -summary
  • 1
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
### 回答1: fsdb User Guide (fsdb 用户指南) 是一个用于帮助用户使用fsdb工具包的指南。fsdb工具包是一个功能强大的开发工具,用于处理并分析Flat Scalar Database (fsdb) 数据库。 该指南提供了详细的说明和操作步骤,帮助用户快速了解和掌握fsdb工具包的各种功能。它包含了fsdb工具包的安装和配置指南,以及使用fsdb进行数据查询、过滤和转换的方法和技巧。 首先,该指南介绍了如何安装和配置fsdb工具包。它详细说明了所需的环境和软件依赖,并提供了逐步指导,帮助用户正确地安装和配置fsdb工具包。 然后,该指南介绍了如何使用fsdb进行数据查询和过滤。它解释了fsdb数据库的结构和基本操作,并提供了查询和过滤的示例。用户可以根据自己的需求,使用fsdb工具包进行高效的数据查询和过滤。 此外,该指南还提供了一些高级功能的介绍和使用方法。例如,如何使用fsdb进行数据转换和合并,以及如何使用fsdb进行统计分析和图表绘制等功能。这些高级功能可以帮助用户更好地利用fsdb工具包,进行更复杂和深入的数据处理和分析。 总之,fsdb User Guide (fsdb 用户指南) 是一个为用户提供使用fsdb工具包的指南。通过学习和使用该指南,用户可以快速掌握fsdb工具包的使用方法,并利用其强大的功能进行数据处理和分析。希望这个回答对您有帮助! ### 回答2: FSDB用户指南是一份详尽的手册,旨在为用户提供有关使用FSDB(Full System Design Browsing)工具的详细说明和指导。FSDB工具是一种用于验证和调试硬件设计的工具,它可以在系统级别上对设计进行分析和仿真。用户指南提供了使用FSDB工具的步骤、功能和操作方法的详细说明,帮助用户快速上手和熟练掌握这个工具。 用户指南首先介绍了FSDB工具的基本概念和原理,包括FSDB文件的格式和结构。然后,指南详细讲解了如何使用FSDB工具进行信号分析和波形调试。它介绍了如何导入和加载FSDB文件,以及如何选择和观察感兴趣的信号。此外,用户指南还介绍了一些高级功能,例如信号的比较和过滤,以及波形的放大和缩小。通过这些功能,用户可以更深入地了解设计的行为和性能,并发现可能存在的问题。 用户指南还提供了一些示例和实际应用场景,以帮助用户更好地理解如何应用FSDB工具进行设计验证和调试。通过这些案例,用户可以学习到一些常见的使用技巧和实践经验。 总之,FSDB用户指南是一份非常实用和详细的手册,可以帮助用户快速掌握和应用FSDB工具进行设计验证和调试。无论是初学者还是有经验的用户,都可以从中获得有效的帮助和指导。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值