verilog的module调用

本文介绍了Verilog中module的调用概念,通过MUX2to1、MUX3to1和MUX4to1的例子展示了如何构建和组合模块。在Verilog工程中,topmodule是主要模块,可以调用其他子模块。示例代码详细解释了如何使用by name和in order两种方式来实例化和连接模块,展示了硬件描述语言在逻辑设计中的灵活性。
摘要由CSDN通过智能技术生成

目录

1. MUX2to1

2. MUX3to1

3. MUX4to1


    作为写过很多代码的程序员应该知道什么是函数的调用,例如下面的代码中,main函数调用了add函数对两个数值进行相加的操作:

int add(int a, int b) {
  return a + b;
}
​
int main() {
  int a = 1, b = 2;
  int sum = add(a, b);
}

    其实,在编写verilog的过程中也有类似的操作,叫做module的调用,在整个verilog工程中有一个主要的module,叫做top module,top module可以调用其他的子module,关系图如下:

module的调用语法有两种方法:

By name: module类型 module名称(.PortA(WireA), .PortB(WireB), ...);
In Order: module类型 module名称(WireA, WireB, ...)

假设我们已经定义了一个MUX2to1的module:

1. MUX2to1

module mux2to1(a, b, c, sel);
input [2:0] a;
input [2:0] b;
input sel;
output [2:0] c;
​
  reg c;
  always@(a or b or sel)
  begin
    if(sel)
      c = a;
    else
      c = b;
  end 
endmodule

2. MUX3to1

module mux3to1(a,b,c,sel1,sel2, outputdata);
​
input [2:0] a;
input [2:0] b;
input [2:0] c;
input sel1;
input sel2;
output [2:0] outdata;
​
wire[2:0] data;
​
  MUX2to1 mux21_dut1( 
                      .a(a), 
                      .b(b), 
                      .c(data), 
                      .sel(sel1) 
                    ); 
        
   MUX2to1 mux21_dut2(
                      .a(data), 
                      .b(b),
                      .c(outdata),
                      .sel(sel2)
                    );            
​
endmodule

3. MUX4to1

module MUX4to1(Din, sel, Dout);
input [3:0]Din;
input [1:0]sel;
output Dout;
​
wire M0_out, M1_out;
​
MUX2to1 M0(.a(Din[3]),
           .b(Din[2]), 
           .sel(sel[0]),
           .c(M0_out)
          );
​
MUX2to1 M1(.a(Din[1]),
           .b(Din[0]), 
           .sel(sel[0]),
           .c(M1_out)
          );          
​
MUX2to1 M2(.a(M0_out),
           .b(M1_out), 
           .sel(sel[1]),
           .c(Dout)
          );          
​
endmodule

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

三贝勒文子

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值