新手教程01:逻辑仿真工具VCS的基础使用

目录

前言

利用图形化界面的方法使用VCS

1. 新建文件夹,存放需要仿真的Verilog源代码和testbench测试文件​

2. 使用cd命令进入该文件夹路径下,对需要编译的文件生成file.list文件

3. 使用vcs命令编译仿真需要的verilog代码

4. 启动VCS图形化界面

5. 进行仿真,生成波形

总结


前言

零基础初学数字IC,在此整理学习笔记。学会什么写什么,与大家一起进步。

本篇主要介绍逻辑仿真工具VCS的图形化界面使用方法,下一篇介绍如何书写makefile脚本进行仿真。


利用图形化界面的方法使用VCS

1. 新建文件夹,存放需要仿真的Verilog源代码和testbench测试文件

2. 使用cd命令进入该文件夹路径下,对需要编译的文件生成file.list文件

file.list 文件用于存放我们需要编译的所有文件的路径,方便后续使用vcs进行编译。

如果rtl文件的路径不在当前文件夹下,可以在 -name 之前加上文件的相对路径即可。

find -name '*.v' > file.list
gvim file.list

3. 使用vcs命令编译仿真需要的verilog代码

vcs -full64 -sverilog -debug_access+all -f file.list -timescale=1ns/1ns -l com.log

 vcs -full64                使用EDA逻辑仿真工具编译源代码

-sverilog                    可以识别system verilog 语言

-debug_access+all   编译命令选项,可以保存debug过程中生成的各种文件

-f file.list                    读取file.list文件中每个路径下的Verilog文件

-timescale=1ns/1ns  定义仿真时间

-l com.log                  保存日志文件 com.log

+v2k                          支持Verilog2001标准

 编译完成后就会生成simv可执行文件,可用于后续仿真。

4. 启动VCS图形化界面

dve &

& 表示在后台打开dve图形化界面,不占用当前terminal

5. 进行仿真,生成波形

在命令行窗口输入run,进行仿真

仿真完成后,添加希望观察的波形

生成最终波形


总结

以上就是简单的VCS使用方法,学习笔记如果有错误的地方,欢迎大家留言纠正~

另外有VCS的使用技巧,欢迎留言补充~

  • 9
    点赞
  • 85
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
VCS DVE(Version Control System Design and Verification Environment)是一个用于版本控制系统设计和验证环境的工具。以下是一些简要的使用教程: 1. 安装VCS DVE:首先,你需要从官方网站上下载并安装VCS DVE工具。根据你的操作系统选择合适的版本。 2. 创建工程:打开VCS DVE并创建一个新的工程。你可以指定工程的名称和存储位置。 3. 导入设计文件:将你的设计文件导入到VCS DVE中。这些文件可以是Verilog或SystemVerilog格式的。 4. 设计规约:在设计文件中添加适当的规约来描述设计行为。你可以使用SystemVerilog Assertions(SVA)或其他验证语言来定义规约。 5. 编写测试用例:创建测试用例来验证设计的正确性。这些测试用例应该覆盖设计的各个方面,包括各种边界条件和异常情况。 6. 运行仿真使用VCS DVE的仿真功能来运行测试用例。你可以选择不同的仿真选项,如波形查看、代码覆盖率分析等。 7. 分析结果:分析仿真结果并检查设计是否满足规约和预期行为。你可以使用VCS DVE提供的调试工具来帮助定位问题。 8. 优化设计:如果发现设计存在问题或不满足要求,你可以进行优化或修改,然后重新运行仿真和分析。 以上仅是一个简要的使用教程VCS DVE是一个功能强大的工具,可以在设计和验证过程中发挥重要作用。对于更详细的教程和指南,你可以参考VCS DVE的官方文档或相关教程资料。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值