吃透Chisel语言.25.Chisel进阶之输入信号处理(一)——异步输入与去抖动

Chisel进阶之输入信号处理(一)——异步输入与去抖动

前面部分的教程已经将Chisel基本的语法讲得差不多了,从这一部分开始,我们将通过不同的需求来实践Chisel的进阶特性,第一部分我们从输入信号处理开始。从外部世界到我们的同步时序电路的输入信号通常都不是与时钟同步的。比如,输入信号可能来自于一个从0到1和从1到0的转换有抖动的源,比如说弹跳按钮和开关。另一方面输入信号可能是有噪声的,有毛刺,可能会触发同步电路中的一次转换。这一部分的内容就用来处理这些情况。开关去抖动和噪声过滤这两个问题都可以通过外部的模拟电路来完成,但是性价比更高的做法是用数字电路来处理。首先我们从异步输入开始,讲解如何用数字电路去抖动。

异步输入处理

和系统时钟信号不同步的输入信号叫作异步信号,这类信号可能会违反设置和保持触发器输入的时间。这种违反可能会导致触发器进入亚稳态,这个亚稳态就可能会导致输出在0和1之间或者在0和1之间震荡。不过过一段时间之后触发器还是会稳定到1或者0。

虽然我们避免不了触发器的亚稳态,但是我们可以包容这种效果。经典的处理办法是在输入端用两个触发器,这种做法基于一个假设:第一个触发器会进入亚稳态,然后会在一个时钟周期内收敛进入稳态,然后就有足够的时间允许第二个触发器的设置和保持了。

下面的示意图就展示了外界异步输入经过两个触发器变成同步输入的过程:

在这里插入图片描述

这个双触发器的构造我们把它叫作输入同步器,输入同步器在Chisel里面一行代码就行了,实例化两个寄存器就完事了:

val btnSync = RegNext(RegNext(btn))

一般来说所有的异步外部输入都需要输入同步器,不过也有例外情况,就是输入信号依赖于一个同步输出信号,而且最大传播时延是已知的。外部的复位信号也需要进行同步,复位信号应该在作为电路中其他触发器的复位信号之前通过两个触发器。更具体来说,复位信号的置0需要跟时钟同步。

去抖动(Debouncing)

开关和按钮可能在开、关之间切换的时候需要一点时间,在转换的过程中,开关可能会在两种状态之间切换。如果我们未经处理就用这种信号,可能就会检测到预期之外的更多的转换。一种解决方法是用时间把这种抖动过滤出去,假设最大抖动时间是 t b o u n c e t_{bounce} tbounce,那我们就以T为周期对输入进行采样,其中 T > t b o u n c e T>t_{bounce} T>tbounce。我们只会把采样信号用于向下传播。

用长周期T进行采样的时候,我们可以知道从0到1的转换只有一个采样会进入抖动区域。抖动区域之前采样被会安全地读为0,抖动区域之后的采样会被安全地读取为1。抖动区域的采样可能是0也可能是1,但是问题不大,因为关键在于这样就只会有一次从0到1的转换了。

下面的示意图就是用于防抖动的采样过程:

在这里插入图片描述

最上面的信号就是抖动的输入信号,下面的箭头就是采样点。采样点之间的距离应该比最大抖动时间要更长。第一个采样会安全地采样到0,最后一个采样会安全地采样到1,而中间的采样落在了抖动时间里,可能是0,也可能是1。那么就有两种可能了,一种是采样到了0,就是图中的debounced A,另一种是采样到了1,那就是图中的debounced B,但不管是哪个结果,他们都只有一次从0到1的转换。这两个结果唯一的区别就是,第二种结果会比第一种晚一个采样周期,但这根本就不是问题。

用Chisel来实现去抖动的代码就比同步器的复杂一些了。这里采样的时序我们用前面实现过的计数器方法来生成,它可以生成一个周期的tick信号。去抖动代码实现如下:

val FAC = 100000000 / 100

val btnDebReg = Reg(Bool())

val cntReg = RegInit(0.U(32.W))
val tick = cntReg === (fac - 1).U

cntReg := cntReg + 1.U
when (tick) {
    cntReg := 0.U
    btnDebReg := btnSync
}

下面解释代码。首先我们需要确定采样频率,代码里面我们就假定时钟频率为100MHz,采样频率是100Hz(需要抖动时间不超过10ms),也就是每1M个时钟就采样一次。计数器的最大值就是FAC,一除就得到了。然后我们定义一个寄存器btnDebReg来存放去抖动之后的信号,这个寄存器没有复位值。寄存器cntReg就是之前的方法,作为计数器使用,计数器每次达到最大值的时候tick信号就维持一个时钟周期的true。在这个例子中,when的条件为true时,一方面计数器会重置为0,另一方面去抖动寄存器会存储输入的采样。

需要注意的是,我们这里使用的输入信号是btnSync,因为它是上一节同步器的输出。去抖动电路通常在同步器电路后面,我们首先得把异步信号同步化,然后才能进一步在数字电路领域处理它。

结语

这一篇文章提到的两个概念相对于前面一直讨论的同步电路会让我们有些陌生。不过还好原理听起来不太复杂,实现起来也很容易,简简单单几行代码就实现了同步化和去抖动,足够应付按钮和开关的异步抖动输入了。下一篇文章我们继续输入信号处理,进一步解决可能存在的噪音问题,尝试为输入信号加一个噪声滤波器,并用函数把这些处理方式整合到一起,最后我们还会简单讨论一下复位信号的同步化,敬请期待。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值