【数字设计】华为海思/消费者BG_笔试面试题目分享

芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球
四社区联合力荐!近500篇数字IC精品文章收录
【数字IC精品文章收录】学习路线·基础知识·总线·脚本语言·芯片求职·EDA工具·低功耗设计Verilog·STA·设计·验证·FPGA·架构·AMBA·书籍

在这里插入图片描述

笔试

华为海思数字芯片的知识点覆盖较全,考查形式而言,全部都是单选和不定项选择,具体题目如下
单选
怎么通过(parent?)访问父类成员
时钟门控技术可以降低静态功耗吗
同一个PLL产生的时钟一定是同步时钟吗
Moore和mealy差异
乒乓buffer的作用
什么会影响最高工作频率
violation允许在异步打拍的第一级吗
fork join执行的规则逻辑(顺序)
同步时钟的定义
静态时序分析可以验证异步电路时序吗
hole time违例可以通过减少组合逻辑消除吗
SV调用$write可以自动在输出后换行吗
SV定义一个logic的变量可以表示Z和X态吗
异步乒乓buffer,地址编码用独热编码,异步fifo用二进制编码对吗?
不定项选择
verilog中属于4态数据类型的有什么
基于fpga和asis在设计中的差异有什么?
A时钟复位 B上下电 C频率clock Dmemory控制
异步电路的处理方式有什么?
A多bit格雷码 B多bitDMUX C乒乓buffer D打三拍
DFT一般增加的电路有什么?
A.JTAG B.BIST C.SCAN D.MBIST
always的时序和过程描述语句与initial相同吗?
快时钟域到慢时钟域的同步方式有什么?
A.DMUX B.双向握手 C.打三拍 D.异步fifo
多bit异步总线处理方式有什么?
A.DMUX B.寄存器打拍 C.异步fifo D.格雷码转换

一面

先问的成绩学校
解释AMBA总线中的Four-beat/eight-beat/16-beat
异步FIFO的空满信号是如何产生的
代码撕的序列检测电路1101

module SequenceDetector(
  input clk,
  input rst_n,
  input data_in,
  output reg detection
);

parameter IDLE = 2'b00;
parameter S1 = 2'b01;
parameter S2 = 2'b10;
parameter S3 = 2'b11;

reg [1:0] state;
reg [3:0] shift_reg;

always @(posedge clk or negedge rst_n) begin
  if (!rst_n) begin
    state <= IDLE;
    shift_reg <= 4'b0;
  end else begin
    case (state)
      IDLE:
        if (data_in)
          state <= S1;
      S1:
        if (data_in)
          state <= S1;
        else
          state <= S2;
      S2:
        if (data_in)
          state <= S3;
        else
          state <= S2;
      S3:
        state <= IDLE;
    endcase
  end
end

always @(posedge clk) begin
  if (state == S3)
    shift_reg <= {shift_reg[2:0], data_in};
  else
    shift_reg <= 4'b0;
end

assign detection = (shift_reg == 4'b1101);

endmodule



二面

一面未通过,结束在一面上

  • 2
    点赞
  • 37
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
### 回答1: 华为2021数字芯片IC的笔试题目主要分为两部分,一部分是单选题和多选题,另一部分则是编程题。 单选题和多选题主要考察对数字电路和计算机原理的理解,题目涵盖了数字信号处理、数制转换、寄存器、存储器、逻辑门电路等方面的知识。需要注意的是,有些题目看似简单但是需要注意细节,例如计算机的存储空间测量单位是字节而不是位。 编程题则需要根据题目要求编写相应的程序。一般来说,编程题比较难,需要对编程语言和算法都有比较深的理解。此次考试的编程题主要考查了对链表的理解和程序设计能力。 通过本次考试,可以看出华为2021数字芯片IC的笔试题目难度较大,需要对相关领域有深入的掌握和理解。在备考期间,应该多加练习和考,增加对基础知识的掌握和应用能力。 ### 回答2: 华为2021数字芯片IC笔试题主要考察了应聘者对于数字电路设计的相关知识点的理解和应用能力。测试题分为两部分,一部分是基础知识选择题,另一部分是设计题。 基础知识选择题主要考察了应聘者对于数字电路、布尔代数、时序分析等基础知识点的了解。比如,有一道题目是让应聘者判断一段代码是否可以正确地实现计数器功能。这需要应聘者对计数器的基本组成原理和实现方法有充分的了解。 设计题则更加考验应聘者的应用能力。其中,一道题目要求应聘者根据给定的电路输入、输出和时钟信号,设计出一个可靠稳定的时序电路。这需要应聘者对时序电路的编程能力、逻辑设计和时序分析能力有较高的掌握。 对于应聘者而言,需要提前充分准备,熟悉数字电路设计相关知识点,深入理解数字电路的实现原理和设计方法。同时,要注重考虑实际应用需求和技术实现可行性,保证设计方案的有效性。只有全面掌握相关知识和技能,才能在笔试中取得更好的成绩,从而在数字芯片IC设计岗位中取得更好的工作机会。 ### 回答3: 华为2021数字芯片IC笔试题主要考察了应聘者在数字电路设计、Verilog语言、RTL综合等方面的知识掌握程度和综合应用能力。试题设计难度适中,较为贴近实际工作中的应用场景。 第一题是给定一组规定的时序图,要求设计Verilog代码和RTL电路图,实现一个4位带使能端的同步锁存器。此题考查了对时序逻辑电路的理解,需要应聘者能够将时序图抽象成基本的组合逻辑与时序逻辑模块,结合Verilog语言编写可合成的Verilog代码和RTL电路图。 第二题是仿真题目,要求给定Verilog代码进行仿真,并观测输出信号,分析仿真结论。此题考查了对数字电路仿真的能力和Verilog语言的掌握程度,需要应聘者熟悉Verilog仿真工具的使用方法,能够正确编写测试代码,并对仿真结果进行分析。 第三题是RTL综合题目,给定Verilog代码,要求进行RTL综合并生成门级网表文件。此题考查了对数字电路综合的理解和工具使用能力,需要应聘者熟悉数字电路综合的各项规则,能够正确地进行综合操作,并生成准确的门级网表文件。 总体来说,华为2021数字芯片IC笔试题考查了应聘者的数字电路设计和RTL综合等方面的综合应用能力,较为贴近实际工作。应聘者可以通过考、练习和掌握基本的数字电路设计和RTL综合知识,提升答题能力和应用水平,更好地应对类似的笔试题目

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

张江打工人

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值