三分频电路Verilog设计

三分频用两个在不同的时钟沿的序列发生器来构成一个3分频信号:

`timescale 1ns/10ps
module div_3(clkin,clkout1,clkout2,clkout3);
input clkin;
output clkout1,clkout2,clkout3;
reg [1:0] step1,step0;
always @(posedge clkin)
begin
  case(step0)
    2'b00: step0 <= 2'b01;
    2'b01: step0 <= 2'b10;
    2'b10: step0 <= 2'b00;
    default: step0 <= 2'b00;
  endcase
end
always@(negedge clkin)
begin
  case(step1)
  2'b00: step1 <= 2'b01;
  2'b01: step1 <= 2'b10;
  2'b10: step1 <= 2'b00;
  default: step1 <= 2'b00;
endcase
end
assign clkout1 = step0;
assign clkout2 = step1;
assign clkout3 = ~(step0|step1);
endmodule

测试程序如下:

module div_2_tb();
reg clkin;
wire clkout1,clkout2,clkout3;
div_3 wt (.clkin(clkin),  .clkout1(clkout1),.clkout2(clkout2),.clkout3(clkout3));

initial 
begin
  clki
  • 3
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值