UVM从入门到崩溃boom!之第一章&&第二章:UVM基本框架

1 篇文章 0 订阅
0 篇文章 0 订阅

小弟刚开始学UVM欢迎大家批评!部分图片来自网络,侵权请联系qq:1530547890删除谢谢!

第一章&&第二章:UVM基本框架

在这里插入 图片描述
在这里插入图片描述
1、reference model从uvm_component扩展而来,其他的组件与其结构组件一一对应扩展;
2、如何创建transaction
i、class从uvm_transaction_item扩展
ii、激励成员必须指定为rand属性
iii、为激励成员注册field automation机制,以便使用field automation机制中的操作函数
iv、添加激励约束项,关键字constraint
v、为其添加构造函数,关键字new,transaction不是组件,所以其构造函数不需要传入父对象
vi、与transaction相关的sequence、sequencer、driver均为参数化的类,构造时都都需要传入对应的transaction参数
在这里插入图片描述
3、如何创建sequence:控制并产生一系列的transaction(可以看作一个sequence包含多个相同类型transaction),一般一种sequence产生一种类型的transaction,其中的body()任务task负责控制和产生transaction
i、该class从uvm_sequence扩展,传入事务# (transaction类型) ,并向uvm注册该object
ii、为其添加构造函数,关键字new,由于sequence不是组件,所以其构造函数不需要传入父对象
iii、添加virtual的body任务task,在repeat中调用宏`uvm_do(req)
来产生句柄handle为req的transaction;uvm_do()的具体执行步骤?
在这里插入图片描述
4、如何创建sequencer:sequence和transaction是流动的数据流(object),sequencer用于启动sequence,将sequence产生的item(transaction)发送到driver中
i、从uvm_sequencer中扩展
简单创建:
在这里插入图片描述
5、phase的概念:component中的task或者function,component根据需要对phase进行重载完成component的功能描述,一个验证平台中的phase按流程执行完毕之后,仿真结束;
phase流程:build_phase->connect_phase->start_of_simulation_phase->run_phase->check_phase->report_phase
6、如何创建driver:driver从组件sequencer获取transaction(调用seq_item_port.get_next_item()函数)并将其分解为pin级信号,处理完毕该transaction之后通知 sequencer发送下一个transaction(调用seq_item_port.item_done()函数)
i、该class从uvm_driver扩展,传入事务# (transaction类型),并向uvm注册该组件
ii、构造函数,关键字new,注意要传入父对象句柄使得factory机制可以构造整个结构
iii、重载任务run_phase,forever中用seq_item_port.get_next_item(req)获取transaction的句柄,用seq_item_port.item_done()通知sequencer该事务处理完毕
在这里插入图片描述
7、如何创建monitor:捕获pin级信号并转化为事务,传给analysis组件(reference model和scoreboard)
i、class从uvm_monitor扩展extends,并向uvm注册该组件
ii、构造函数,关键字new,注意传入父对象使得factory机制可以构造整个结构
iii、重载phase
8、agent:handle并且object化sequencer、driver和monitor,并且连接其内部组件,active模式的agent包含基本三组件,passive模式的agent只包含monitor
i、class从uvm_agent扩展,并向uvm注册该组件
ii、构造函数,关键字new,注意传入父对象句柄使得factory机制可以构造整个结构
iii、声明其下的sequencer、driver、monitor句柄,重载build_phase并在build_phase中判断是否为active模式(is_active==UVM_ACTIVE?),是的话用factory机制object化sequencer、driver、monitor,并重载connect_phase并在connect_phase中判断是否为active模式,是的话连接sequencer和driver(TLM通信:调用uvm_driver中的seq_item_port的connect函数连接uvm_sequencer中的seq_item_export(实质为import))
在这里插入图片描述
9、env的创建:handle并且object化agent(master and slave or passive agent)、reference model、scoreboard(build_phase),并将其对应连接起来(connect_phase)
i、class从uvm_env中扩展,并向uvm注册该组件
ii、构造函数,关键字new,注意传入父对象句柄使得factory机制可以构造整个结构
iii、声明agent、reference model、scoreboard的句柄,重载build_phase并在build_phase中object化这些component,重载connect_phase并在connect_phase中连接这些component
10、testcase的创建:handle并且object化env(build_phase),并且在build_phase中使用uvm_config机制配置sequencer使得其启动产生transaction(sequencer启动sequence的两种方法!下述用了方法一:用config机制配置default sequence),可以重载start_of_simulation_phase并在其中使用uvm_top.print_topology函数打印本平台的拓扑结构
i、class从uvm_test中扩展,并向uvm注册该组件
ii、构造函数,关键字new,注意传入父对象句柄使得factory机制可以构造整个结构
iii、build_phase中object化env并且用uvm_config机制配置使sequencer可以启动sequence(uvm_config的另外两种作用?),start_of_simulation_phase中打印本测试平台拓扑结构(uvm_top.print_topology(uvm_default_tree_printer))
在这里插入图片描述
11、如何启动仿真
1、创建automatic program,思考什么是automatic,为什么需要automatic
在这里插入图片描述
2、编译uvm源码和测试顶层program:test
在这里插入图片描述
3、执行仿真
在这里插入图片描述
4、查看log文件
查看用uvm_top.print_topology(uvm_default_tree_printer)函数打印出来的测试平台拓扑结构;
查看仿真时间及平台对应的动作;

  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值