SystemVerilog&Verilog 算术(右&左)移和逻辑(右&左)移的区别

1 篇文章 0 订阅
1 篇文章 0 订阅

在编写SystemVerilog&Verilog的过程中,我们在涉及到左移和右移的操作运算时需要注意算术右移和逻辑右移的区别,并且需要对此非常小心,否则容易造成错误!!!

算术右移:要考虑符号位,右移一位,如果符号位为1,符号位保持不变,左边为1,整体依次右移1位。

逻辑右移:无需考虑符号位,所有bit位均右移,左边补0。

例如,要对6位二进制数111000(6 'b111000)执行右移一位的操作:
逻辑右移运算得到的结果为6’b011100,而算术右移运算得到的结果为111100。

算术左移和逻辑左移也同理。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值