verilog 中 逻辑与 && 按位与 &

本文详细解释了Verilog中逻辑与(&&)操作在处理单比特和多比特数字时的行为,包括双目操作(A&B)和单目操作(&A)的应用实例。通过比较A、B和C的位状态,展示了如何判断是否含有1以及按位与的结果。
摘要由CSDN通过智能技术生成
verilog 中 逻辑与 && 
单比特

多比特

两个4bit的数字相与;A=4'b0x1z;B=4'b01xx;C=4'b00xz;求A&&B;A&&C;是什么值?当逻辑与&&操作符两边的数字均含有“1”,则输出1。

那么怎么判断A、B、C为是否含有“1”呢。当A为0的时候,A等于4'b0,即每位都为0,即只需A的4位矢量之间做一下“逻辑或”运算,A[3] || A[2] || A[1] || A[0],也可写成(|A);当4位矢量中只需有一位为1,那么A就含有“1”。即哪怕A中具有不定态x或者高阻态z,A = 4'b0x1z在逻辑与的判断下会判定为“1”。

故 A && B = 4'b0x1z && 4'b01xx = 1

C = 4'b00xz,其中没有bit位为1,所以在逻辑与的眼中,C为“0”,进而有:

A && C = 4'b0x1z && 4'b00xz = 0

verilog 中 按位与 & 

按位与有两种使用方式,一种是双目操作,即一个操作符对应两个操作数A&B;另一种是单目操作,即一个操作符对应一个操作数&A。

双目操作

一个4bit的数和一个4bit的数按位与的结果还是一个4bit数,例如A[3:0] & B[3:0] = { A[3] && B[3] , A[2] && B[2], A[1] && B[1], A[0] && B[0] }

假设A = 4'b10xz;B = 4'b0011;C = 4'bz011;

A & B = 4'b00xx;A & C =4'bx0xx;

单目操作

一个4bit的数对自己进行按位与,输出的结果为1bit的数。

假设A = 4'b10xz;B = 4'b0011;

& A = A[3] && A[2] && A[1] && A[0] = 0

& B = 0

因为4bit数A和B中间都有0,所以A、B按位与结果都为0。

若C = 4'b11xz;则 & C = x

  • 6
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值