使用bat文件快速进行modelsim仿真

 在当前文件夹下创建gitignore文件,为手续使用git做版本管理做准备。

(   echo *.log
    echo .idea/*
    echo project/TOP_Runs/syn_1/
    echo project/TOP_Runs/.logs/
)>.gitignore

在当前文件夹下创建工程目录

md project
cd ./project
md rtl
md sim
md prj

 获取日期和时间信息

set d=%date:~0,10%
set t=%time:~0,8%

在rtl文件夹下创建rtl文件和约束文件夹,bin文件夹

cd ./rtl
(echo //creat time:%d%-%t%  )>TOP.v
md constraints
md bin

在sim文件夹下创建仿真do文件

cd ../sim
(echo ##creat time:%d%-%t%
 echo vlib work
 echo vlog -work work	../rtl/*.v
 echo #vcom ../source/*.vhd
 echo vlog -work work +incdir+sim_rtl	{sim_rtl/tb_TOP.v}
 echo vsim -t ps -gui -L D:/modeltech64_10.7/Anlogic/a13_10_ver -voptargs=+acc work.tb_TOP
 echo set NumericStdNoWarnings 1
 echo set StdArithNoWarnings   1
 echo radix hex
 echo add wave sim:/tb_TOP/*
 echo run 2000ns
 echo quit
  )>script_for_modelsim.do

创建启动moselsim仿真脚本

(echo C:\modeltech64_2019.2\win64\modelsim -do script_for_modelsim.do -l sim.log
echo pause)>sim_run.bat

创建激励文件夹和tb文件

md sim_rtl
cd ./sim_rtl
(echo //creat time:%d%-%t%  )>tb_TOP.v
exit

 完整一键双击bat代码

( echo *.log
echo .idea/*
echo project/TOP_Runs/syn_1/
echo project/TOP_Runs/.logs/
)>.gitignore
md project
cd ./project
md rtl
md sim
md prj
set d=%date:~0,10%
set t=%time:~0,8%
cd ./rtl
(echo //creat time:%d%-%t%  )>TOP.v
md constraints
md bin
cd ../sim
(echo ##creat time:%d%-%t%
 echo vlib work
 echo vlog -work work	../rtl/*.v
 echo #vcom ../source/*.vhd
 echo vlog -work work +incdir+sim_rtl	{sim_rtl/tb_TOP.v}
 echo vsim -t ps -gui -L D:/modeltech64_10.7/Anlogic/a13_10_ver -voptargs=+acc work.tb_TOP
 echo set NumericStdNoWarnings 1
 echo set StdArithNoWarnings   1
 echo radix hex
 echo add wave sim:/tb_TOP/*
 echo run 2000ns
 echo quit
  )>script_for_modelsim.do
(echo C:\modeltech64_2019.2\win64\modelsim -do script_for_modelsim.do -l sim.log
echo pause)>sim_run.bat
md sim_rtl
cd ./sim_rtl
(echo //creat time:%d%-%t%  )>tb_TOP.v
exit

效果

双击sim_run即可启动modelsim进行仿真

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值