VScode 插件TEROS_HDL提示VHDL_LS错误

工作区根目录下缺少一个名为 vhdl_ls.toml 的配置文件,这个文件是用来帮助 VHDL LS 插件识别库映射的。

在工作区根目录下创建一个 vhdl_ls.toml 文件,然后在其中添加以下内容:

[libraries]
work.files = [ './*.vhd', ]

此文件放置路径

C:\Users\.vscode\extensions\teros-technology.teroshdl-5.0.12\server\vhdl_ls\0.65.0\vhdl_ls-x86_64-pc-windows-msvc

如果你已经创建了 vhdl_ls.toml 文件,但仍然遇到问题,请确保文件路径和文件名都是正确的。如果问题仍然存在,请参考 1 中的讨论。

  • 10
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值