使用modelsim 进行仿真分析(do文件方式)

第一步,切换modelsim 的工作路径,选择存放仿真相关do文件的文件夹下。

第二步 编写指令do文件

vlib work
do do/compile_rtl.do
do do/compile_sim.do

第三步 编写编译do文件

vlib work
##
vcom            -work work				../RTL/*.vhd
vlog            -work work				../RTL/*.vhd

第四步,编写仿真指令do文件

vlog -work work  +define+tb_00=1 -work work +incdir+sim_model	{sim_model/tb_TDC.v}

第五步,启动仿真do文件

##tb00.do
vlog +define+tb_00=1 -work work +incdir+sim_model		{sim_model/tb_TDC.v}

vsim  -gui -voptargs=+acc=rn   +notimingchecks -t ps work.tb_start_stop_gen

set NumericStdNoWarnings 1
set StdArithNoWarnings   1

do wave/wave.do

run 2ms

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值