【LabVIEW FPGA入门】FPGA寄存器(Register)

        当您需要从多个时钟域或设计的不同部分访问数据,并且需要编写可重复使用的代码时,可使用寄存器项来存储数据。与 FIFO 相比,寄存器项消耗的 FPGA 逻辑资源更少,而且不消耗块存储器,而块存储器是最有限的 FPGA 资源类型。

        LabVIEW FPGA 模块有两种寄存器项:

         - VI-defined register items: 使用VI定义的寄存器项创建可重入的子VI,避免资源冲突。如果在可重入的 FPGA VI 中配置了 VI 定义的寄存器项,LabVIEW 将为 VI 的每个实例创建寄存器项的单独副本。

        - Target-scoped register items: 如果希望寄存器项在 "项目浏览器"(Project Explorer)窗口中可见并可配置,则可使用目标范围寄存器项。目标寄存器项可在项目浏览器窗口中同一目标下的任何 FPGA VI 中使用

         可以通过配置窗口或初始化VI初始化值

  

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值