FPGA学习日志——半加器half_adder

半加器half_adder

半加器:用于计算两个一位二进制相加,且不考虑低位进位。

控制框图、真值表和波形:

在这里插入图片描述

其中count表示进位,sum表示和数。
根据真值表可以得到输入输出关系

异或符号

count = a ^ b,即a异或b:(-a&b)+(-b&a)sum = a & b,即a与b

实验代码
module half_adder
(
    input wire in_1,
    input wire in_2,
    
    output wire sum,
    output wire count
);//端口列表
//进行赋值
assign {count,sum}=in_1+in_2;//位拼接的方式进行赋值
endmodule
位拼接
  1. 使用重复数字法简化拼接表达式的书写

    {4{w}} //等同于{w,w,w,w}
    
  2. 使用嵌套方式简化书写

    {a,{3{b,c}}}//等同于{a,b,c,b,c,b,c}
    
  3. 位拼接表达式中若不指明元素具体位数,否则默认32位

仿真代码
`timescale 1ns/1ns
module tb_half_adder();
reg     in_1;
reg     in_2;
wire    sum;
wire    count;
initial
    begin
        in_1<=1'b0;
        in_2<=1'b0;
    end 
always #10 in_1<={$random}%2;
always #10 in_2<={$random}%2;
initial
    begin  
        $timeformat(-9,0,"ns",6);
        $monitor("@time %t:in_1=%b,in_2=%b,sum=%b,count=%b",$time,in_1,in_2,sum,count);
    end
half_adder half_adder_inst
(
    .in_1(in_1),
    .in_2(in_2),   
    .sum(sum),
    .count(count)
);
endmodule
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Chendy_00

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值