FPGA学习日志——触摸按键控制Led灯 touch_ctrl_led

触摸按键控制Led灯touch_ctrl_led

当触碰电容开关时小灯点亮,再次触碰小灯熄灭。

实验框图和波形图

在这里插入图片描述

边沿检测

边沿检测电路主要作用是在 clk 的驱使下,检测另一个信号的上升/下降沿电路

由于输入信号touch_key是随机输入不一定与时钟同步,设置变量touch_key_1用来同步时钟,将touch_key_1延迟一拍得到touch_key_2,再将两个信号相与得到touch_flag,led根据标志信号进行控制。

实验代码

module touch_ctrl_led
(
    input   wire    sys_clk,
    input   wire    sys_rst_n,
    input   wire    touch_key,
    
    output  reg    led
);
reg     touch_key_1;
reg     touch_key_2;
wire    touch_flag;
//新知识:边沿检测:该案例中flag信号采集到了输入信号的下降沿
always@(posedge sys_clk or negedge sys_rst_n)
    if(sys_rst_n==1'b0)
        begin
            touch_key_1<=1'b1;
            touch_key_2<=1'b1;
        end
    else 
        begin
            touch_key_1<=touch_key;
            touch_key_2<=touch_key_1;
        end
assign    touch_flag=((touch_key_1==1'b0)&&(touch_key_2==1'b1)) ;//当同时满足时,即两个条件都为1,相与拉高电平
    //这里使用assign组合逻辑电路,flag信号没有延时
always@(posedge sys_clk or negedge sys_rst_n)
    if(sys_rst_n==1'b0)  
        led<=1'b1;
    else    if(touch_flag==1'b1)
            led<=~led;
            else    led<=led;

endmodule

边沿采集也可以使用always语句,不过会产生一个时钟的时延

always@(posedge sys_clk or negedge sys_rst_n)
    if(sys_rst_n==1'b0)      
        touch_flag<=1'b0;
    else    if((touch_key_1==1'b0)&&(touch_key_2==1'b1))
                touch_flag<=1'b1;
            else touch_flag<=1'b0; 

仿真代码

`timescale 1ns/1ns
module tb_touch_ctrl_led();
 reg   sys_clk;
 reg   sys_rst_n;
 reg   touch_key;

wire  led;
initial
    begin
        sys_clk=1'b1;
        sys_rst_n<=1'b0;
        touch_key<=1'b1;
        #20
        sys_rst_n<=1'b1;
        #200
        touch_key<=1'b0;
        #2000
        touch_key<=1'b1;
        #1000
        touch_key<=1'b0;
        #3000
        touch_key<=1'b1;
    end
always  #10  sys_clk=~sys_clk;

touch_ctrl_led   touch_ctrl_led_inst
(
   .  sys_clk(sys_clk),
   .  sys_rst_n(sys_rst_n),
   .  touch_key(touch_key),
   
   . led(led)
);
endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Chendy_00

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值