【System Verilog and UVM基础入门13】消息的打印及过滤

本文介绍了如何使用System Verilog和UVM进行消息打印和过滤。UVM使用冗余值来控制不同级别的消息打印,如UVM_NONE始终打印,而其他级别需要更高的阈值。通过设置`set_report_verbosity_level`和`set_report_id_verbosity`方法可以调整组件的报告详细级别。全局报告详细级别可以通过`uvm_top`设置,影响整个仿真中的组件。文章还提到,UVM采用树形结构,每个组件都有对应的全路径索引,并提供了配置数据库的相关应用。
摘要由CSDN通过智能技术生成

【消息打印,可以帮助工程师DEBUG问题!】

UVM使用冗余值来过滤消息

UVM_DEBUG 战斗力500
UVM_FULL 战斗力400

UVM_HIGH

战斗力300
UVM_MEDIUM 战斗力200
UVM_LOW 战斗力100
UVM_NONE 战斗力0

如何理解呢?UVM_NONE没有战斗力,所以带有UVM_NONE级别的消息始终打印,而具有其他级别(战斗力)的消息,如果需要打印,则需要更高的阈值!

为了进一步的了理解,请看下面的代码!

//          ID    _______________________MESSAGE_______
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值