VCS-入门脚本(Tcl)编写

本文针对vcs入门写的一个简易Tcl脚本

1.工程的目录结构
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

2.Tcl脚本Source code

在terminal中输入vim Makefile

Makefile中源文件如下

.PHONY:com cov clean debug
				
OUTPUT = cpu_top
			
			
#compile command
VCS = vcs -sverilog +v2k -timescale=1ns/1ns \
	-debug_all			    \
	+notimingcheck			    \
	+nospecify                          \
	+vcs+flush+all			    \
	-o ${OUTPUT}                        \
	-l compile.log                      \
				
#simulation command
SIM = ./${OUTPUT} -l ${OUTPUT}.log
					
#start compile
com:
	${VCS} -f file_list.f
				
#start simulation
sim:
	${SIM}
				
#show the coverage
cov:
	dve -covdir *vdb &

#start debug commnd
debug:
	dve -vpd ${output}.vpd &

#clean some file
clean:
	rm -rf ./csrc  *.daidir ./csrc *.log *.vpd *.vdb simv* *.key *race.out*

在terminal中输入命令:vim file_list.f

vim file_list.f文件内容如下:

-timescale=1ns/1ns

//Macro define
//+define+INC_COUNTER

//Source file
../rtl/cpu_top.v
../rtl/rom.v
../rtl/ram.v
../rtl/RISC_CPU_8bit.v
../rtl/clk_gen.v
../rtl/register.v
../rtl/accum.v
../rtl/alu.v
../rtl/datactl.v
../rtl/adr.v
../rtl/counter.v
../rtl/machinectl.v
../rtl/machine.v
../rtl/addr_decode.v


//Testbench
../test_bench/cpu_top_tb.v
  • 2
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值