ILA分析上板信号

本文描述了在设计过程中,在IP核内使用mark_debug标记信号,如何在Vitis和XilinxVivado工具中进行信号定义、工程刷新、合成、生成输出产品、设置debug、生成位流,以及在硬件层面的自动连接、触发运行和观察波形的过程。
摘要由CSDN通过智能技术生成

我的情况是信号在ip核中,所以要到ip核内的文件给信号定义前面加上(*mark_debug = "true"*) ,比如(*mark_debug = "true"*)  reg [63:0] out;

然后返回工程刷新ip,重新给system.bd做generate output products

synthesis

然后到open synthesis design里面set up debug,一路确定

generate bitstream

在vitis那边update hardware并且重新build project,开始debug

在vivado这边open hardware, auto connect,设置好trigger然后run

在vitis这边开始跑动,同时观察vivado的波形

  • 7
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值