Vivado_PLL IP核

本文介绍Vivado中PLL IP核的使用方法。

PLL

首先在IP Catalog中搜索clock 并找到Clocking Wizard,双击后打开。
在这里插入图片描述
进入Clocking Wizard配置界面。
在这里插入图片描述

其中可选选项及含义为:
Frequency Synthesis:频率合成,允许输出时钟具有与输入时钟不同的频率。此项必须勾选,否则无法正常使用其分频倍频的功能。
Phase Alignment:相位对齐,允许将输出时钟锁相到输入时钟。默认勾选。
Dynamic Reconfig:动态重配置,允许在生成配置后更改配置。勾选后,默认生成AXI4-Lite接口。
Safe Clock Startup:安全启动,在locked采样为高电平8个输入时钟后,勾选后会使用BUFGCE在输出端启用稳定且有效的时钟。
Minimize Power:最小化功率,允许允许最小化该单元所需的功率,但会牺牲频率、相位偏移或占空比精度。

Jitter Optimiazation:
Balanced:软件自动为抖动优化选择正确的带宽。
Minimize Output Jitter:最小化输出抖动,最大限度地2减少输出时钟的抖动,但代价是功耗和可能的输出时钟相位误差。
Maximize Input Jitter:最大化输入抖动,允许输入时钟上更大的输入抖动,但会对输出时钟上的抖动产生负面影响。

在 Input Clock Information 选项框处设置输入时钟引脚名称,输入时钟频率。
在这里插入图片描述

输出时钟配置:
在这里插入图片描述
如设置:
在这里插入图片描述
其输入时钟频率为50MHz,输出时钟频率为25MHz,相位25度,占空比75%。
在这里插入图片描述
其他引脚设置:
在这里插入图片描述
勾选reset,locked,Active High后,输入输出信号如下。
在这里插入图片描述
locked引脚会在输出时钟稳定后被来高。
Reset Type中勾选Active High设置reset引脚为高电平有效。按照一般设计,reset通常为低电平有效,则需勾选Active Low。

  • 6
    点赞
  • 41
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值