数电和Verilog-时序逻辑实例四:状态机(过程分析)

A.17 时序逻辑实例四:状态机(过程分析)

以一个经典的数字IC设计笔试题,自动饮料售卖机,来给大家做示例讲解。

题目

要求设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零。

(1)画出状态转移图,公式和卡诺图分析

(2)使用Verilog实现

(3)搭建测试平台做简单验证

下面带着大家一步步地完成。

设计过程

第一步

确定输入输出。

A=1表示投入5分钱,B=1表示投入10分钱,Y=1表示弹出饮料,Z=1表示找零。

第二步

确定电路状态。

S0表示售卖机里还没有钱币,S1表示已经投了5分钱。

注意这里不存在其他的情况,比如已经投了10分钱,那已经足够完成本次交易,电路应该回归初始的S0状态,所以只会有S0和S1这两种状态。

第三步

画状态转移图。

S0状态时:

(1)不投钱,则AB=00,此时肯定不会弹出饮料,也不会找零,因此YZ=00

  • 2
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值