数字逻辑电路基础-有限状态机


一、有限状态机基本结构

本文主要介绍使用verilog编写有限状态机FSM(finite state machine),它主要由三部分组成,下一状态逻辑电路,当前状态时序逻辑电路和输出逻辑电路。

有限状态机框图如下图所示:

有限状态机基本结构

根据电路输出是否与输入有关,有限状态机分为两种,一种是Mealy状态机,它的输出不仅与当前状态相关,还与电路输入相关;另一种是Moore状态机,它的输出只与当前状态相关。


二、verilog写一个基础有限状态机(moore型状态机)

状态转移图
状态转移图如下所示。一共有5个状态,我们需要用verilog实现上述状态机。
第一步要做的是创建一个状态编码用于记录这5个状态。我们可以使用localparam来定义这5个状态。比如:

localparam STATE_Initial = 3'd0;
		   STATE_1 &
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值