baidu进阶训练笔记十九20200806

第七章 百度Apollo控制介绍(二)

Control in Apollo-2

“Modeling” in Control Module

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-Xei6eS3M-1596718385311)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806182243131.png)]

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-f17RUU1O-1596718385313)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806182623321.png)]

两个预描模型

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-UqEftIu8-1596718385315)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806182932736.png)]

Dynamic Model

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-Xjyzzxh6-1596718385318)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806183326613.png)]

  • 考虑了几何约束
  • 拆解成了x方向与y方向,对力分解

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-12cAdABV-1596718385319)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806183535144.png)]

Model-Linearization

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-mXTEWNtf-1596718385320)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806183722072.png)]

Model - Error Based Modeling

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-X0VCE0ty-1596718385323)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806184058349.png)]

Model - State Space Representation

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-7yf6Nbuc-1596718385324)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806184221799.png)]

![QHD~T2[0%]HVFCJD{3ILWL](D:\974190626\FileRecv\MobileFile\Image\QHD~T2[0%]HVFCJD{3ILWL.png)

Reference and Future Reading:

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-dKNna6Es-1596718385326)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806184549381.png)]

涉及控制模块的关键组成部分

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-InHz8jPP-1596718385328)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806184641717.png)]

System Identification

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-G04WRyhZ-1596718385330)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806184734194.png)]

White Box

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-VHBfL7b0-1596718385330)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806184859830.png)]

基于第一原理的模型结构,可以测量数据估计模型的参数

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-WEXiw7AB-1596718385332)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806185242048.png)]

Black Box

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-Cet8fMSL-1596718385333)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806185414625.png)]

模型和参数都在未知的情况下,只能通过输入输出数据来估计的方法

Grey Box

用于只有部分模型结构可知,通过数据重建的方法来获取模型的其他部分的方法

基于学习的系统辨识

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-fbPOtKFX-1596718385335)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806185822357.png)]

Controller Design

为什么我们需要控制器?

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-11FSQYW5-1596718385335)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806190140482.png)]

滤波器设计

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-VmvF4e5c-1596718385337)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806190114302.png)]

目的:提高系统的稳定性

滤波的种类

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-qXMyOHyI-1596718385338)(C:\Users\xiaomeiyan\AppData\Roaming\Typora\typora-user-images\image-20200806190510706.png)]

  • 低通滤波

  • 高通滤波

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值