sequence启动的两种方式


前言

如果把UVM验证环境比作一把枪,那么sequence相当于是枪里边的子弹,这里主要记录一下sequence的两种启动方式。


1、启动sequence的两种方式

sequence的启动方式,分为直接(Explicitly)和间接(Implicitly)两种。

直接启动的方式是,在测试用例中创建sequence,再通过调用sequence内置start函数,在sequencer上进行启动。

间接的启动方式是,利用uvm_config_db将sequence送到sequencer上的main_phase中。
在这里插入图片描述

2、直接启动sequence

直接启动sequence只能在测试用例中进行,虽然使用简单,但是很难控制,并且复用性不高。一般用于测试用例的main_phase中,利用phase来进行“举手”和“放手”,利用sequence的start函数,指定特定的sequencer,从而启动sequence。
在这里插入图片描述
在这里插入图片描述

3、间接启动sequence

间接启动sequence是一种更推荐的方式,利用uvm_config_db的方式,将sequence配置到对应sequencer的main_phase中,从而启动sequence。
间接启动的方式,可以在env或者test的build_phase中设置,而且能够被更高层次的组件或者仿真选项的开关重载,所以,这种间接的启动方式值得推荐。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

4、间接启动sequence的objection管理

在间接启动sequence时,phase的“举手”和“放手”不会在测试用例中去实现,而是需要在sequence内部去自己管理。
在这里插入图片描述
sequence在管理自己的objections时,UVM-1.1和UVM-1.2的方式不一样,代码如下所示,可以实现兼容。更多相关介绍,参考《如何在UVM的sequence中控制objection》
在这里插入图片描述


总结

本文主要记录一下UVM中,直接和间接启动sequence的方式,在间接启动sequence时,phase objections的管理方法。

  • 3
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值