数字电路基础与Quartus-II入门

本文介绍了如何使用Quartus-II设计并仿真D触发器。首先讲解了D触发器的基本概念和结构,然后通过详细步骤指导读者创建工程,绘制门电路原理图,进行编译和时序波形仿真,以验证D触发器的时序功能。
摘要由CSDN通过智能技术生成

Quartus-II 、Modelsim 安装

请参考:
https://blog.csdn.net/qqq080/article/details/115326395?spm=1001.2014.3001.5501

Quartus-II 中用门电路设计一个D触发器,并进行仿真,时序波形验证

什么是D触发器:

D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。

D触发器结构:

D触发器(data flip-flop或delayflip-flop)由4个与非门组成,其中G1和G2构成基本RS触发器。
电平触发的主从触发器工作时,必须在正跳沿前加入输入信号。如果在CP高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP触发沿来到前一瞬间加入输入信号。这样,输入端受干扰的时间大大缩短,受干扰的可能性就降低了。边沿D触发器也称为维持-阻塞边沿D触发器。边沿D触发器可由两个D触发器串联而成,但第一个D触发器的CP需要用非门反向。

详细信息参考:
百度百科:

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值