数字电路基础与Quartus II入门

一、学习任务

1.在 Quartus-II 中自己用门电路设计一个D触发器,并进行仿真,时序波形验证;
2.在 Quartus-II 中直接调用一个D触发器电路,进行仿真,时序波形验证,与2做比较;
3.在 Quartus-II用Verilog语言写一个D触发器,进行仿真验证,与3做比较;

二、学习内容

1.Quartus-II输入原理图及时序仿真

1.1 创建工程

File->New Project Wizard
在这里插入图片描述
填写工程名称
在这里插入图片描述
选择芯片系列及类型
在这里插入图片描述
原理图输入,不选择
在这里插入图片描述
创建工程完成
在这里插入图片描述

1.2.创建方框文件

file-new
在这里插入图片描述
Block Diagram/Schematic File
在这里插入图片描述
首先点击上面箭头处,然后选择nand2,二个输入的与非门,依次添加四个and2和一个非门not
在这里插入图片描述
在这里插入图片描述
选择连线工具
在这里插入图片描述
连接如图
在这里插入图片描述

1.3.编译原理图文件

rtl viewer,查看硬件电路图
在这里插入图片描述
如图
在这里插入图片描述

1.4.创建vwm格式波形文件

选择vwf
在这里插入图片描述
选择Edit->Insert->Insert Node or Bus
在这里插入图片描述

添加Node or Bus在这里插入图片描述
添加效果
在这里插入图片描述
编辑输入Clk,产生时钟信号,鼠标选择D,Q信号Q_n,,进行编辑
在这里插入图片描述

1.5.时序波形仿真

在这里插入图片描述

2.Quartus-II调用D触发器及时序仿真

2.1.创建方框文件

调用D触发器
在这里插入图片描述
连线效果
在这里插入图片描述

2.2.编译原理图文件

硬件电路图
在这里插入图片描述

2.3.创建vwm格式波形文件及时序仿真

编辑好的波形
在这里插入图片描述
功能仿真
在这里插入图片描述
时序仿真
在这里插入图片描述

3.Quartus-II用Verilog语言实现D触发器及时序仿真

3.1.编写Verilog文件

file-new-Verilog HDL File
在这里插入图片描述
添加以下代码,保存并编译
在这里插入图片描述

module no3(d,clk,q);
    input d;
    input clk;
    output q;
    reg q;
    always @ (posedge clk)
    begin
        q <= d;
    end
endmodule

3.2.电路图

在这里插入图片描述

3.3.测试代码

`timescale 1ns / 1ns
module no3_tb;
    reg clk,d;
    wire q;
    no3 u1(.d(d),.clk(clk),.q(q));

    initial
    begin
        clk = 1;
        d <= 0;
        forever
        begin
            #60 d <= 1; 
            #22 d <= 0;
            #2  d <= 1;
            #2  d <= 0;
            #16 d <= 0;
        end
    end

    always #20 clk <= ~clk;//半周期为20ns,全周期为40ns的一个信号
endmodule

3.4.仿真结果

在这里插入图片描述

三、参考资料

Quartus II自带仿真工具的使用
通过仿真和综合认识D触发器(Verilog HDL语言描述D触发器)

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值