Exams/m2014 q4k

在这里插入图片描述
法一:

module top_module (
    input clk,
    input resetn,   // synchronous reset
    input in,
    output out);
    wire w1,w2,w3;
    //声明四个模块
    D_flip ins1(clk,in,resetn,w1);
    D_flip ins2(clk,w1,resetn,w2);
    D_flip ins3(clk,w2,resetn,w3);
    D_flip ins4(clk,w3,resetn,out);

endmodule
//声明一个D触发器
module D_flip (input clk, input D,input resetn, output Q);
    always @(posedge clk)
        begin
            if(!resetn)
                Q <= 1'b0;
            else
            	Q <= D;
        end
endmodule

法二:

module top_module (
    input clk,
    input resetn,   // synchronous reset
    input in,
    output out);

    wire w1,w2,w3;
    always @(posedge clk)
        begin
            if(!resetn)
                begin
                    w1 <= 1'b0;
                    w2 <= 1'b0;
                    w3 <= 1'b0;
                    out <= 1'b0;
                end
            else
                begin
                    w1 <= in;
                    w2 <= w1;
                    w3 <= w2;
                    out <= w3;
                end
        end
endmodule

参考答案:

module top_module (
	input clk,
	input resetn,
	input in,
	output out
);

	reg [3:0] sr;
	
	// Create a shift register named sr. It shifts in "in".
	always @(posedge clk) begin
		if (~resetn)		// Synchronous active-low reset
			sr <= 0;
		else 
			sr <= {sr[2:0], in};
	end
	
	assign out = sr[3];		// Output the final bit (sr[3])

endmodule

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值