Verilog刷题HDLBits——Exams/2014 q3bfsm

Verilog刷题HDLBits——Exams/2014 q3bfsm

题目描述

Given the state-assigned table shown below, implement the finite-state machine. Reset should reset the FSM to state 000.
在这里插入图片描述

代码

module top_module (
    input clk,
    input reset,   // Synchronous reset
    input x,
    output z
);
    
    reg[2:0] state,next_state;
    
    always@(*)
        case(state)
            3'b000:next_state=x?3'b001:3'b000;
            3'b001:next_state=x?3'b100:3'b001;
            3'b010:next_state=x?3'b001:3'b010;
            3'b011:next_state=x?3'b010:3'b001;
            3'b100:next_state=x?3'b100:3'b011;
        endcase
    
    always@(posedge clk)
        if(reset)
            state<=3'b000;
    	else
            state<=next_state;
    
    assign z = (state==3'b011)||(state==3'b100);

endmodule

结果

在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值