自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(31)
  • 收藏
  • 关注

原创 基于51单片机的烟雾温度火灾报警仿真数码管显示

MQ-2介绍MQ-2型气体传感器用于以氢气为主要成分的城市煤气、天然气、液化石油的测量,而且它抗干扰能力强,水蒸气、烟等干扰气体对它的影响小。MQ-2型气敏元件具有以下特点:(1) 采用烧结半导体所形成的敏感烧结体,具有稳定的R (即器件在纯洁空气中的阻抗)阻值,从而保证了长期工作的稳定性。(2) 单电源供电,其功耗仅0.7W左右。(3) 对所测试的气体有极高的灵敏度和信噪比。MQ-2型气敏元件有两种型号。MQ-2A型适用于天然气、城市煤气、石油液化气、丙丁烷及氢气等;MQ-2型适用于烟雾等减光

2022-01-03 18:50:50 2674

原创 基于51单片机的烟雾温度火灾报警器 LCD1602显示proteus仿真

设计要求设计一个简单、实用的基于单片机室内火灾报警系统(模拟机),对火灾的实时、准确监测,快速、自动报警,该系统能自动完成对布测点的火情检测即气体温度、浓度,设定一个温度,由液晶显示屏会看到温度变化,如果超过设定温度就会自动报警,报警形式有声音和灯光闪烁,。要求报警时输出一个状态指示信号,用一个LED点亮示意。设计指标(1) 选择一款合适的烟雾传感器,在兼顾检测精度的同时尽量降低成本用于前端检测电路之用;(2) 以51单片机为核心对烟雾浓度进行实时检测,检测结果可通过数码管、液晶或者其他方式进行显示

2022-01-03 18:49:41 15114

原创 基于STM32F103单片机的无线病床呼叫系统

系统功能设计(末尾附文件)本系统由STM32单片机最小系统、LED指示灯、2档拨动开关、蜂鸣器报警、蓝牙模块组成。1、手机APP和stm32设备上蓝牙模块进行连接。2、三个拨动开关分别代表3个床位呼叫开关(1-3床位),开关拨上去,则相应的指示灯闪烁报警,同时蜂鸣器鸣叫报警。开关拨下,则蜂鸣器不鸣叫,LED灯也不闪烁。同时,系统将通过蓝牙模块实现病人和医护人员之间信息的传递。医护人员的手机可以实时接收床位的相关报警信息。2档拨动开关LED信号指示灯电路设计STM32F103C8T6单片机核

2022-01-03 17:48:41 5499

原创 基于FPGA的数字交通红绿灯Verilog开发Modelsim仿真

Control:Counter:JiaoTongDeng:附:http://www.jh-tec.cn/archives/7160

2022-01-03 17:42:23 907

原创 基于FPGA的电梯控制程序设计Verilog开发

Control:Counter:DianTi:附:http://www.jh-tec.cn/archives/7171

2022-01-03 17:41:07 797

原创 基于FPGA的自动小车控制设计Verilog开发

Control:XiaoChe:附:http://www.jh-tec.cn/archives/7176

2022-01-03 17:17:27 1661

原创 基于FPGA的数字抢答器VHDL开发

Control:Div:QiangDa:附:http://www.jh-tec.cn/archives/7192

2022-01-03 17:15:57 369

原创 基于FPGA的彩灯控制器Verilog开发

Ctrl:Counter:Show:附文件:http://www.jh-tec.cn/archives/7186

2022-01-03 17:14:05 1077

原创 基于FPGA的信号发生器VHDL开发

fenpin:tiaopin:fangbo:附文件:http://www.jh-tec.cn/archives/7197

2022-01-03 17:12:26 330

原创 基于FPGA的数字频率计Verilog开发

部分参考代码BaseSignal:Counter.附文件 http://www.jh-tec.cn/archives/7181

2022-01-03 17:08:53 548

原创 基于51单片机的图书馆座位管理系统proteus仿真

功能简介:实现有人坐到座位上时,座位上的灯亮启同时触发计数器。当检测到座位无人时,系统启动计时功能,计时5s后,再次检测座位是否有人。若此时座位无人,则座位灯熄,同时显示屏数字减少(所有座位灯熄的数字)。若此时座位有人,则灯继续亮,显示屏数字不变。显示屏上显示所有座位上有人的数字。原理图:仿真图:程序设计#include "reg51.h"#include "74hc595.h"#include "lcd1602.h"#define uchar unsigned char#define

2021-09-22 16:34:20 2011

原创 基于51单片机的声控彩灯proteus仿真

#include <reg52.h>#include <intrins.h>#define uchar unsigned char // 以后unsigned char就可以用uchar代替#define uint unsigned int // 以后unsigned int 就可以用uint 代替sbit ADC_CS = P1^7; // ADC0832的CS引脚sbit ADC_CLK = P1^6; // ADC0832的CLK引.

2021-08-22 17:52:55 3005 1

原创 基于51单片机的6位毫秒百分秒级秒表倒计时器proteus仿真

#include <AT89X52.H>#define uint unsigned int //宏定义无符号整型数据#define uchar unsigned char //宏定义无符号字符型数据sbit key1=P3^6; //按键sbit led=P1^0; //灯sbit ledc=P1^1; //灯uchar Cntus=0,Cnt10ms=0,Cnt1s=0,Cnt1min=0;//中断计时变量bit stop=0; .

2021-08-22 17:43:51 1783

原创 基于51单片机的智能汽车雨刮器的程序设计proteus仿真

硬件设计(末尾附文件)代码设计#include<reg51.h>#include<stdio.h>#include<intrins.h>#define uchar unsigned char#define uint unsigned int//********正转**********unsigned char code FFW[8]={0x08,0x0c,0x04,0x06,0x02,0x03,0x01,0x09};//********反转*****

2021-06-02 19:07:04 2451

原创 基于FPGA的AD7606采集程序设计Verilog 开发

部分代码设计(末尾附文件)`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Module Name: ad7606 //////////////////////////////////////////////////////////////////////////////////module ad7606( input

2021-06-01 19:06:23 5642 1

原创 基于FPGA的模计数器设计VHDL开发

控制部分的设计(末尾附文件)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity Ctrl is port( clk :in std_logic; reset_n :in std_logic; sel_l :in std_logic; sel_h :in std_logic; dir :in s

2021-06-01 19:03:47 421 1

原创 基于FPGA的汉明码编解码程序VHDL开发

硬件设计(末尾附文件)library ieee;use ieee.std_logic_1164.all;entity edac3 is port (clk,start:in std_logic; --时钟和清零端口; mzint:in std_logic_vector (21 downto 0); --输入22位码组端口; dbout:out std_logic_vector (15 downto 0); --输出16位

2021-06-01 18:59:37 514 1

原创 基于51单片机的流水灯跑马灯音乐盒proteus仿真

硬件设计(末尾附文件)原理图:仿真图:程序设计#include <reg52.h>#define uchar unsigned char#define uint unsigned intsbit key1=P3^2;//定义按key1可切换花样 sbit key2=P3^3;//定义按key2可切换歌曲sbit fm=P2^3;//蜂鸣器连续的IO口,接蜂鸣器的管脚//sbit fm=P3^6;uchar code huayang1[]={0x7f

2021-02-01 11:51:08 4770

原创 基于51单片机的小区智能防盗门禁密码锁 proteus仿真程序设计

硬件设计仿真图:矩阵键盘输入密码区,模拟电磁开关,LCD12864显示;程序设计/***************************************************************名称:进入主函数时的初始化函数功能:进行12864液晶显示屏的初始化 并且显示居民小区和请输入密码的提示字符 对门锁的初始化作用,P32口的低电平***************************************************************/

2021-01-31 16:47:20 3268 1

原创 基于51单片机的智能家居防盗系统人体红外热释电 proteus仿真原理图程序设计

硬件设计原理图:仿真图:程序设计//程序头函数#include <reg52.h>//宏定义#define uint unsigned int #define uchar unsigned char//管脚声明//输出sbit LED_wenduH= P1^2; //温度上限sbit LED_wenduL= P1^3; //温度下限sbit LED_yanwu = P1^4; //烟雾sbit LED_LED = P1^1;

2021-01-31 16:43:57 6270 1

原创 基于51单片机的花样心形型流水灯proteus仿真

硬件设计(末尾附文件)仿真图如下:基于51单片机,多个LED组成的硬件电路程序设计#include<reg52.h> #define uchar unsigned charuchar flag=200;///////////////////////////////////////////////////////////////////////uchar code Tab1[]={0xFE,0xFD,0xFB,0xF7,0xEF,0xDF,0xBF,0x7F,0xFF};//暗

2021-01-30 20:56:35 9086

原创 基于51单片机的超声波红外检测停车场管理车位系统proteus仿真程序设计

硬件设计(末尾附文件)仿真图1基于超声波的车位检测系统 三个超声波检测三个车位,LCD显示剩余的车位,具有LED指示;:仿真图2:基于红外对管的车位检测系统,两个按键模拟红外对管,LCD显示剩余的车位,具有LED指示,车辆超出后蜂鸣器报警提示;程序设计软件设计是设计中很重要的部分。它关系到一个系统能否实现其预定的要求。主程序设计主程序是软件设计的总体框架,因此主程序的流程图的设计决定了程序编写的好坏,该主程序的功能是扫描键盘,作出逻辑判断,控制各种中断,数据送入显示模块。其流程

2021-01-28 16:55:47 3397 1

原创 基于STM32单片机的直流电机控制系统加减速正反转设计方案原理图程序

硬件电路的设计3.1系统的功能分析及体系结构设计3.1.1系统功能分析本设计由STM32F103C8T6单片机核心板电路+L298N电机驱动电路+按键电路+电源电路组成。1、通过按键可以控制电机,正转、反转、加速、减速、停止。档位分8档。2、按键顺序正转、反转、加速、减速、停止。3.1.2系统总体结构本系统具体框图如下图所示:原理图:STM32单片机核心电路设计STM32系列处理器是意法半导体ST公司生产的一种基于ARM 7架构的32位、支持实时仿真和跟踪的微控制器。选择此款控制芯片

2020-07-30 14:28:12 23236 1

原创 基于STM32单片机的远程智能浇花花盆GSM短信浇水补光方案原理图程序设计

硬件电路的设计(末尾附文件)3.1系统的功能分析及体系结构设计3.1.1系统功能分析本设计由STM32F103C8T6单片机核心电路+温湿度传感器DHT11电路+LCD1602液晶显示电路+继电器控制电路+GSM短信模块SIM800A电路+高亮LED灯补光电路+光照强度电路+电源电路组成。1、检测花盆湿度、温度和光照状态值,并显示;参数显示通过LCD1602液晶显示,2、远程控制,通过GSM短信远程浇水和补光灯控制。发送一条短信:jiaoshui,继电器1打开(可外接水泵表示浇水),返回短信ji

2020-07-30 13:39:20 11229

原创 基于STM32单片机的多路五路抢答器时间显示方案原理图程序设计

硬件电路的设计(末尾附文件)3.1系统的功能分析及体系结构设计3.1.1系统功能分析本设计由STM32F103C8T6单片机电路+LCD1602液晶显示电路+5路按键电路组成。1、系统上电后,LCD1602液晶显示第一次按键按下时对应的标号。第1个按键先按下,则液晶显示1。第2个按键先按下,则液晶显示2。第3个按键先按下,则液晶显示3。第4个按键先按下,则液晶显示4。第5个按键先按下,则液晶显示5。2、液晶只能显示1个数字。3、除非系统再次上电或者按下复位按键,则开始新一轮的抢答。3.1.2

2020-07-30 10:23:52 7523

原创 基于STM32F103单片机的老人防跌倒报警装置GSM短信蜂鸣器报警方案原理图程序设计

硬件电路的设计(末尾附文件)3.1系统的功能分析及体系结构设计3.1.1系统功能分析本设计由STM32单片机核心板电路+ADXL345加速度传感器电路+GSM电路+指示灯电路+按键电率+蜂鸣器报警电路+电源电路组成。1、采用倾角传感器adxl345模块,实时检测老人的状态角度。2、采用GSM模块实现短信的发送,只要老人跌倒了(且没有按下解除误报警操作按钮),设备将会向设定的手机号码发送求救短信“help me!”!3、板子正常情况下是竖直装在口袋里的(adxl345模块在下角竖直立着),一旦倾

2020-07-30 10:07:35 19579 1

原创 基于51单片机的刷卡停车计时收费系统射频RFID方案原理图程序设计

硬件电路的设计(末尾附文件)3.1系统的功能分析及体系结构设计3.1.1系统功能分析本设计由STC89C52单片机电路+RFID模块电路+蜂鸣器报警电路+LCD1602液晶显示电路+电源电路组成。1、RFID卡刷卡一次,液晶5秒倒计时,再刷卡一次,增加5秒倒计时,一共可以刷5次。2、时间到,蜂鸣器长鸣报警。3.1.2系统总体结构本系统具体框图如下图所示:模块电路的设计3.2.1 STC89C52单片机核心系统电路设计STC89C52RC是STC公司生产的一种低功耗、高性能CMOS8

2020-07-25 16:26:57 7078 1

原创 基于51单片机的智能考勤系统射频RFID卡考勤上课上班人数统计方案原理图程序设计

硬件电路的设计(末尾附文件)系统的功能分析及体系结构设计3.1.1系统功能分析本设计由STC89C52单片机电路+RFID模块电路+1602液晶显示电路+按键电路+蜂鸣器报警电路+电源电路组成。1、系统有3张卡,分别代表依次是张三、李四、王二。2、任何一个人刷卡成功能,1602液晶响应显示,未刷卡显示空“ ”位置上空的,刷卡成功后相应位置显示有人。3、液晶第二行显示刷卡人数,即出勤总人数。4、按下按键表示上课,如果签到人数和总人数不符合,蜂鸣器报警处理。3.1.2系统总体结构本系统具体框

2020-07-25 16:10:53 4913 1

原创 基于51单片机的汽车自动照明灯超声波光敏检测远近光灯方案原理图设计

系统的功能分析及体系结构设计(附文件)系统功能分析本设计由STC89C52单片机+光照检测电路(光敏电阻)+超声波HC-SR04模块电路+4位高亮白色LED灯+黄绿LED指示灯电路+拨动开关电路+电源电路组成。1、通过光敏电阻模块检测光照强度,正常情况下,白天灯不亮,晚上的时候开启远光灯,且光照阈值可以通过传感器上的电位器调节。2、产品有自动模式和手动模式两种模式,模式通过拨动开关选择,具有模式指示灯。3、自动模式:通过超声波模块检测距离,如果距离小于30cm,那么远光灯转化为近光灯,超过30c

2020-07-25 15:41:24 6878 1

原创 基于51单片机的跑步机霍尔测速脉搏心率检方案原理图设计

系统的功能分析及体系结构设计(下方附文件)3.1.1系统功能分析本设计由STC89C52单片机电路+脉搏/心率传感器电路+LM393比较器电路+霍尔测速电路+电机控制电路+LCD1602显示电路+电源电路组成。1、通过脉搏/心率传感器检测脉搏/心率值,通过霍尔传感器检测电机转速。2、也就LCD1602实时显示脉搏/心率值和速度值。3、可以通过电位器调节电机转速,模拟跑步机调速。3.1.2系统总体结构方案图:原理图:5V电源电路设计本系统选择5V直流电源作为系统总电源,为整个系统供电

2020-07-25 15:26:09 6998 1

原创 LM317,LM337正负电源 电路图PCB设计

【前言】Hello~ 各位靓仔周三好,一晃又到周三了,周四周五一过就又要放假啦~ 今天钛叔给大家讲讲什么呢…… 掐指一算,那就讲讲电源吧。之前钛叔讲过一个Buck(降压型)电路——LM5017。在那篇博客里边,钛哥就说过,一个系统必不可少的就是电源,电源系统质量的好坏会决定整个系统的好坏,它就像一个心脏,给各个部件供给能量,一但心脏萎掉,其他各部件都会相应受影响。 之前讲的是开关电源,今天钛叔讲...

2019-12-25 08:41:05 15962 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除