基于FPGA的模计数器设计VHDL开发

链接:https://pan.baidu.com/s/1VF3q6mVPGvpcgx5fQZOkag
提取码:kgse

控制部分的设计

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity Ctrl is
	port(
		clk		:in	std_logic;
		reset_n	:in	std_logic;
		
		sel_l	:in	std_logic;
		sel_h	:in	std_logic;
		
		dir		:in	std_logic;
		
		cnt_10_en	:out	std_logic;
		cnt_12_en	:out	std_logic;
		cnt_24_en	:out	std_logic;
		cnt_60_en	:out	std_logic;
		sd_sel		:out	std_logic_vector(1 downto 0);
		
		dir_out		:out	std_logic
		);
end Ctrl;

architecture rtl of Ctrl is

signal sel_r:std_logic_vector(1 downto 0);

signal cnt_10_en_r:std_logic;
signal cnt_12_en_r:std_logic;
signal cnt_24_en_r:std_logic;
signal cnt_60_en_r:std_logic;
signal sd_sel_r:std_logic_vector(1 downto 0);

signal dir_out_r:std_logic;
			
begin
	
	sel_r <= sel_h & sel_l;
	
	process(clk,rese
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值