偶分频电路

(1)方法一    

偶数分频,假设N(偶数)分频,只需要计数到N/2-1,然后时钟翻转、计数器清零,如此循环就可以得到N(偶)分频。

`timescale 1ns / 1ps
module oufenpindianlu(
     input clk   ,
     input rst_n  ,
     output reg out_clk
    );
parameter N=4;
reg [N/2-1:0] cnt;
always@(posedge clk or negedge rst_n)begin
   if (!rst_n)begin
      cnt<=0;
      out_clk<=0;
   end
   else begin
   if(cnt==N/2-1)begin
      out_clk<=~out_clk;
      cnt<=0;
   end
   else
      cnt<=cnt+1;
   end
end
endmodule

程序仿真结果如下:

 (2)方法二

直接使用计数器分频

module CNT(

input clk,

input rst_n,

output reg [2:0] y

);

always @(posedge clk or negedge rst_n)begin

        if (rst_n==1'b0)

           y<=3'b0;

       else

            y<=y+1'b1;

end

endmodule

仿真结果:

 

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

交芯

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值