FPGA:状态机

一、状态机介绍

状态机由状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作、完成特定操作的控制中心。有限状态机简写为FSM(Finite State Machine),主要分为2大类:
第一类,若输出只和状态有关而与输入无关,则称为Moore状态机
第二类,输出不仅和状态有关而且和输入有关系,则称为Mealy状态机
有限状态机主要包括:米利状态机和穆尔状态机。输出与输入变量直接相关的状态机称为米利状态机,输出与输入变量无直接关系的状态机称为穆尔状态机。
在这里插入图片描述

在这里插入图片描述

二、状态机练习

1.根据以下描述功能用verilog编写一段代码,并用状态机来实现该功能

1、根据以下描述功能用verilog编写一段代码,并用状态机来实现该功能。
(1)状态机:实现一个测试过程,该过程包括启动准备状态、启动测试、停止测试、查询测试结果、显示测试结果、测试结束返回初始化6个状态;用时间来控制该过程,90秒内完成该过程;
(2)描述状态跳转时间;
(3)编码实现。

(1) 准备工作

流程图:
在这里插入图片描述

相关说明:

序号 时间 说明
C0 10s 到S1状态,led0亮
C1 25s 进入S2状态,led1亮
C2 45s 进入S3状态,led2 亮
C3 55s 进入S4状态,led3亮
C4 70s 进入S5结果显示状态 ,led0,1,2,3全亮
C5 90s 返回S0初始化状态,led都灭
(2)编程实现

新建一个文件夹state_machine,包含以下文件夹:
在这里插入图片描述
将项目工程放到prj文件夹下,src放.v文件,tcl放引脚配置文件,sim放仿真文件。
代码:

module state_machine(
input wire clk,		//时钟50_000_000
input wire rst_n,		//复位

output reg [3:0] led		//4个灯
);

reg [32:0] cnt=0;	//定义一个33位宽的计数器
localparam T=33'd4_499_999_999;	//90秒


//90s计数器
always @(posedge clk or negedge rst_n)begin
	if(!rst_n)
		cnt<=33'd0;
	else
		if(cnt==T)
			cnt<=33'd0;
		else
			cnt<=cnt +33'd1;
end
 
//状态机

//状态空间划分

localparam s0=3'd0;//第一个灯亮
localparam s1=3'd1;//第二个灯亮
localparam s2=3'd2;//第三个灯亮
localparam s3=3'd3;//第四个灯亮
localparam s4=3'd4;//全亮
localparam s5=3'd5;//全灭

//定义状态寄存器
reg [2:0]cstate;//current:当前,state:状态,6个状态需要3个位宽来存储

reg [2:0]nstate;//next state:次态

//三段式状态机
//【1】现态跟随次态

always @(posedge clk or negedge rst_n)begin
	if(!rst_n)
		cstate<=s0;
	else
		cstate<=nstate;
end


//【2】状态的判断和转移
always @(*)begin
	if(!rst_n)
			nstate=s0;
	else
	case(cstate)				//现态
			s0:	begin
								if(cnt==33'd499_999_999)//10s
									nstate=s1;
								else
									nstate=s0;
							end
			s1:	begin
								if(cnt==33'd1_249_999_999)//25s
									nstate
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FPGA状态项目是指使用FPGA(Field-Programmable Gate Array)来实现状态功能的项目。状态状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作、完成特定操作的控制中心。在FPGA状态项目中,我们可以使用Verilog编程语言来描述和实现状态的功能。 根据提供的引用内容,有限状态主要分为两大类:Moore状态和Mealy状态。Moore状态的输出只与状态有关而与输入无关,而Mealy状态的输出不仅与状态有关而且与输入有关。在FPGA状态项目中,我们可以根据具体需求选择适合的状态类型。 在设计FPGA状态项目时,我们需要进行以下步骤: 1. 确定项目需求和功能描述,例如启动准备状态、启动测试、停止测试、查询测试结果、显示测试结果等。 2. 根据需求和功能描述,设计状态状态转移图,即状态图。状态图可以用来描述状态之间的转移关系和相应的输入输出条件。 3. 使用Verilog编程语言来实现状态的功能。根据状态图,我们可以定义状态寄存器和组合逻辑电路,以及相应的输入和输出信号。 4. 进行仿真和验证,确保状态的功能和性能符合预期。 5. 将Verilog代码综合到FPGA芯片中,并进行实际硬件验证和测试。 总结起来,FPGA状态项目是通过使用FPGA来实现状态功能的项目。在项目中,我们可以使用Verilog编程语言来描述和实现状态的功能,并根据具体需求选择适合的状态类型。通过仿真和验证,我们可以确保状态的功能和性能符合预期。最后,将Verilog代码综合到FPGA芯片中,进行实际硬件验证和测试。 #### 引用[.reference_title] - *1* *2* [FPGA状态](https://blog.csdn.net/weixin_46129506/article/details/124596358)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down1,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [FPGA状态简单测试](https://blog.csdn.net/m0_49297422/article/details/124569044)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值