Quartus II :1位全加器设计

一、半加器与1位全加器

1. 半加器

半加器是实现两个一位二进制数加法运算的器件。它具有两个输入端(被加数A和加数B)及输出端Y。
在这里插入图片描述

是数据输入被加数A、加数B,数据输出S和数(半加和)、进位C。
A和B是相加的两个数,S是半加和数,C是进位数。
所谓半加就是不考虑进位的加法,它的真值表如下 (见表):
在这里插入图片描述

逻辑表达式:
在这里插入图片描述

2. 1位全加器

全加器英语名称为full-adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器。一位全加器可以处理低位进位,并输出本位加法进位。多个一位全加器进行级联可以得到多位全加器。常用二进制四位全加器74LS283。
在这里插入图片描述

一位全加器的真值表如下图,其中Ai为被加数,Bi为加数,相邻低位来的进位数为Ci-1,输出本位和为Si。向相邻高位进位数为Ci
在这里插入图片描述

二、Quartus II输入原理图实现1位全加器设计

(一)半加器输入原理图

1.新建工程

①打开安装好的Quartus II(安装下载教程参考:Quartus II 13.1的安装及使用Modelsim SE版本的安装及使用方法),点击File->New Project Wizard…
在这里插入图片描述

出现以下界面,直接点击next:
在这里插入图片描述

②进入项目名称设置(如图):
在这里插入图片描述

弹出对话框,选择Yes:
在这里插入图片描述

直接点击next:
在这里插入图片描述

③选择目标芯片:cycloneIVE系列的EP4CE11529C7
在这里插入图片描述
④EDA Tool Setting设置
在这里插入图片描述

⑤确认信息,点击finish:
在这里插入图片描述

此时界面上会出现顶层文件名和项目名:
在这里插入图片描述

2.新建原理图文件

①打开QuartusII,选菜单“File”一“New”,在弹出的“New-”对话框中选择“ Design Files” 的原理图文件编辑输入项“Block block diagram/schematic File"按"OK"后将打开原理图编辑窗。
在这里插入图片描述
②点击按纽“ Symbol Tool”或直接双击原理图空白处,从“ Symbol”窗中选择需要的符号,或者直接在“name”文本框中键入元件名
在这里插入图片描述
在这里插入图片描述

分别调入元件and2,xnor和输入输出引脚input和output。并如图用点击拖动的方法连接好电路。然后分别在input和output的PIN NAME上双击使其变黑

  • 22
    点赞
  • 153
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值