HDLBits -Verilog实践笔记[4] 时序电路1

  1. 锁存器和触发器

  • D触发器

D触发器是一种存储一位并在(通常)时钟信号的正沿定期更新的电路。

d触发器是由逻辑合成器创建的时钟总是阻止已使用(参见总是阻止2).D触发器是“组合逻辑块后跟一个触发器”的最简单形式,其中组合逻辑部分只是一根导线。

创建一个D触发器。

  • 8 D触发器

创建8 D触发器。所有dff应由的正沿触发clk.

  • 同步复位8 D触发器

创建具有高电平有效同步复位的8 D触发器。所有dff应由的正沿触发clk.

  • 同步复位8 D触发器-负边沿

创建具有高电平有效同步复位的8 D触发器。触发器必须复位到0x34而不是零。所有dff都应该由的边缘时钟

  • 异步复位8 D触发器

创建带高电平有效异步复位的8 D触发器。所有dff应由的正沿触发时钟.

  • 16 D触发器

创建16 D触发器。有时只修改一组触发器的一部分是有用的。字节使能输入控制是否应在该周期写入16个寄存器的每个字节。字节娜[1]控制高位字节丁[十五:八],而byteena[0]控制低位字节d[7:0].

resetn是同步低电平有效复位。

所有dff应由的正沿触发clk.

  • D锁存器

实现以下电路:

请注意,这是一个闩锁,因此Quartus会警告您已经推断出一个闩锁。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值