IC验证学习笔记(MCDF)实验1-用task、动态数组、产生数据部分单独划分

文章讨论了VHDL中Task和Function的差异,如调用机制、执行时间及控制语句的使用。强调了在initial块中不能同时生成时钟和复位信号的原因。此外,介绍了如何将数据生成部分独立为一个新的模块initiator,用于模拟多个通道的数据激励,并详细描述了模块内的方法set_name(),chnl_write()和chnl_idle()的功能和作用。
摘要由CSDN通过智能技术生成

实验1

产生时钟和发起复位的两个initialtask取代:

Taskfunction的区别

函数能调用另一个函数,但不能调用任务;任务能调用另一个任务,也能调用另一个函数

函数总是在仿真时刻0就开始执行;任务可以在非零时刻执行

函数一定不能包含任何延迟、事件或者时序控制声明语句;任务可以包含延迟、事件或者时序控制声明语句

函数至少有一个输入变量,可以有多个输入变量;任务可以没有或者多个输入(input)、输出(output)和双向(inout)变量

函数的参数列表只有input,返回值是通过函数名带回,只能有一个返回值,不能有输出(output)或者双向(inout)变量;任务不返回任何值,任务可以通过输出(output)或者双向(inout)变量传递多个值

注意clk_gen()和rstn_gen()不能放在一个initial块里面,否则只会产生clock信号,不会产生复位信号。这是因为多个initial块是并行的,把时钟信号和复位信号放在一个initial块里面,在initial块内部的执行顺序是串行的,执行clk_gen()时,forever会一直执行,不断产生时钟信号,导致rstn_gen()方法无法调用执行。
 

动态数组作为输入的数据,每个通道100个数

 将产生数据的部分单独拎出来,用新的模块initiator来写。

        为了实现清晰的验证结构,我们将DUT和激励发生器stimulator之间划分。可以将激励方法chnl_write()封装在新的模块chnl_initator中。模块可以例化,chnl0_init、chnl1_init、chnl2_init分别扮演每个channel通道对应的stimulator,发送激励,因此在其模块chnl_initiator中定义了三个方法set_name()、chnl_write()和chnl_idle()。

set_name():设置实例的名称,在发送各个channel数据前,设置各个channel_initiator的实例名称。
chnl_write():要实现一次有效的写数据,并随后调用chnl_idle(),实现一个空闲周期。在实现有效写数据时,只有当valid为高且ready为高时,数据写入才算成功,如果此时ready为低,那么则应该保持数据和valid信号,直到ready信号拉高时,数据写入才算成功。
chnl_idle():要实现一个时钟周期的空闲,在该周期中,ch_valid应为低,ch_data应为0。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值