第18篇:4位二进制数到2位十进制数的转换

本文介绍了如何利用Verilog编程将4位二进制数转换为2位十进制,根据数值范围决定数码管HEX0和HEX1的显示策略,并通过SignalTap进行仿真调试。
摘要由CSDN通过智能技术生成

Q:上一篇我们是将4位二进制数转换为十六进制数在1个数码管显示,本期我们转换为2位十进制数显示在2个数码管上。

A:设计基本思路:4位二进制数转换后的十进制数小于10时,代表个位数的数码管显示0-9,代表十位数的数码管不显示;当十进制数大于等于10时,代表十位数的数码管固定显示为1,代表个位数的数码管显示0-5。

使用DE2-115开发板的SW[3:0]做为4位二进制数输入,在七段数码管HEX0上显示十位数的个位,HEX1上显示十位数的十位,继续使用Verilog case语句来描述逻辑功能。

Signal Tap仿真调试结果:

  • 21
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值