【Verilog】基本逻辑门代码(二)

与门

//与门设计
module and_gate(
         A,
         B,
         Y
    );
input   A;
input   B;
output  Y;
assign  Y = A & B;
endmodule
module test(
    );
reg     aa;
reg     bb;
wire    yy;
and_gate f(.A(aa),.B(bb),.Y(yy));
initial begin   //  按时间定义变量的值
            aa <= 0;bb<=0;
    #10     aa <= 0;bb<=1;    //时间过10个单位
    #10     aa <= 1;bb<=0;
    #10     aa <= 1;bb<=1;
    #10     $stop;
end
endmodule

在这里插入图片描述
在这里插入图片描述

或门

/或门设计
module joint_gate(
         A,
         B,
         Y
    );
input   A;
input   B;
output  Y;
assign  Y = A | B;
endmodule
module test(
    );
reg     aa;
reg     bb;
wire    yy;
joint_gate f(.A(aa),.B(bb),.Y(yy));
initial begin   //  按时间定义变量的值
            aa <= 0;bb<=0;
    #10     aa <= 0;bb<=1;    //时间过10个单位
    #10     aa <= 1;bb<=0;
    #10     aa <= 1;bb<=1;
    #10     $stop;
end
endmodule

在这里插入图片描述
在这里插入图片描述

与非门

//与非门设计
module nand_gate(
         A,
         B,
         Y
    );
input   A;
input   B;
output  Y;
assign  Y = ~(A & B);
endmodule
module test(
    );
reg     aa;
reg     bb;
wire    yy;
nand_gate f(.A(aa),.B(bb),.Y(yy));
initial begin   //  按时间定义变量的值
            aa <= 0;bb<=0;
    #10     aa <= 0;bb<=1;    //时间过10个单位
    #10     aa <= 1;bb<=0;
    #10     aa <= 1;bb<=1;
    #10     $stop;
end
endmodule

在这里插入图片描述
在这里插入图片描述

或非门

//或非门设计
module nor_gate(
         A,
         B,
         Y
    );
input   A;
input   B;
output  Y;
assign  Y = ~(A | B);
endmodule
module test(
    );
reg     aa;
reg     bb;
wire    yy;
nor_gate f(.A(aa),.B(bb),.Y(yy));
initial begin   //  按时间定义变量的值
            aa <= 0;bb<=0;
    #10     aa <= 0;bb<=1;    //时间过10个单位
    #10     aa <= 1;bb<=0;
    #10     aa <= 1;bb<=1;
    #10     $stop;
end
endmodule

在这里插入图片描述
在这里插入图片描述

异或门

异或门可以直接用^,我写复杂了

//异或门设计
module exclusive_OR_gate(
         A,
         B,
         Y
    );
input   A;
input   B;
output  Y;
assign  Y = (A & ~B) | (~A & B);
endmodule
module test(
    );
reg     aa;
reg     bb;
wire    yy;
exclusive_OR_gate f(.A(aa),.B(bb),.Y(yy));
initial begin   //  按时间定义变量的值
            aa <= 0;bb<=0;
    #10     aa <= 0;bb<=1;    //时间过10个单位
    #10     aa <= 1;bb<=0;
    #10     aa <= 1;bb<=1;
    #10     $stop;
end
endmodule

在这里插入图片描述
在这里插入图片描述

module exclusive_OR_gate(
         A,
         B,
         Y
    );
input   A;
input   B;
output  Y;
assign  Y = A ^ B;
endmodule

在这里插入图片描述

同或门

module not_exclusive_OR_gate(
         A,
         B,
         Y
    );
input   A;
input   B;
output  Y;
assign  Y = A ~^ B;
endmodule

在这里插入图片描述

4位与非门设计

在这里插入图片描述

//4位与非门设计
module nand_gate_4bits(
         A,
         B,
         Y
    );
input[3:0]   A;
input[3:0]   B;
output[3:0]  Y;
assign  Y = ~(A & B);
endmodule
module test(
    );
reg[3:0]     aa;
reg[3:0]     bb;
wire[3:0]    yy;
nand_gate_4bits f(.A(aa),.B(bb),.Y(yy));
initial begin   //  按时间定义变量的值
            aa <= 4'b0000;bb<= 4'b1111;
    #10     aa <= 4'b0010;bb<= 4'b0110;    //时间过10个单位
    #10     aa <= 4'b0111;bb<= 4'b0100;
    #10     aa <= 4'b0000;bb<= 4'b1110;
    #10     $stop;
end
endmodule

在这里插入图片描述
在这里插入图片描述

在这里插入图片描述

步骤

实现功能的模块:
首先确定端口的数量,在模块内部确定端口是输入端口,还是输出端口
确定端口的位宽,确定端口以及信号的数据类型,默认是wire型
实现功能。

Test模块:
确定信号的数据类型、位宽。
调用功能模块,
改变信号值。

两个十六位相加,计算结果

module add(x,y,result);
input[15:0] x,y;
output[15:0] result;

assign result = x + y;
module test(
    );
reg[15:0] x,y;
wire[15:0] result;

add f(.x(x),.y(y),.result(result));
initial begin
    x <= 16'd23;y <= 16'd45;
#10   x <= 16'd12;y <= 16'd67;
#10   $stop;
end
endmodule

在这里插入图片描述
在这里插入图片描述
verilog 中 wire 和reg 的使用

  • 18
    点赞
  • 143
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值