【Verilog】基本逻辑门代码(二)

与门

//与门设计
module and_gate(
         A,
         B,
         Y
    );
input   A;
input   B;
output  Y;
assign  Y = A & B;
endmodule
module test(
    );
reg     aa;
reg     bb;
wire    yy;
and_gate f(.A(aa),.B(bb),.Y(yy));
initial begin   //  按时间定义变量的值
            aa <= 0;bb<=0;
    #10     aa <= 0;bb<=1;    //时间过10个单位
    #10     aa <= 1;bb<=0;
    #10     aa <= 1;bb<=1;
    #10     $stop;
end
endmodule

在这里插入图片描述
在这里插入图片描述

或门

/或门设计
module joint_gate(
         A,
         B,
         Y
    );
input   A;
input   B;
output  Y;
assign  Y = A | B;
endmodule
module test(
    );
reg     aa;
reg     bb;
wire    yy;
joint_gate f(.A(aa),.B(bb),.Y(yy));
initial begin   //  按时间定义变量的值
            aa <= 0;bb<=0;
    #10     aa <= 0;bb<=1;    //时间过10个单位
    #10     aa <= 1;bb<=0;
    #10     aa <= 1;bb<=1;
    #10     $stop;
end
endmodule

在这里插入图片描述
在这里插入图片描述

与非门

//与非门设计
module nand_gate(
         A,
         B,
         Y
    );
input   A;
input   B;
output  Y;
assign  Y = ~(A & B);
endmodule
module test(
    );
reg     aa;
reg     bb;
wire    yy;
nand_gate f(.A(aa),.B(bb),.Y(yy));
initial begin   //  按时间定义变量的值
            aa <= 0;bb<=0;
    #10     aa <= 0;bb<=1;    //时间过10个单位
    #10     aa <= 1;bb<=0;
    #10     aa <= 1;bb<=1;
    #10     $stop;
end
endmodule

在这里插入图片描述
在这里插入图片描述

或非门

//或非门设计
module nor_gate(
         A,
         B,
         Y
    );
input   A;
input   B;
output  Y;
assign  Y = ~(A | B);
endmodule
module test(
    );
reg     aa;
reg     bb;
wire    yy;
nor_gate f(.A(aa),.B(bb),.Y(yy));
initial begin   //  按时间定义变量的值
            aa <= 0;bb<=0;
    #10     aa <= 0;bb<=1;    //时间过10个单位
    #10     aa <= 1;bb<=0;
    #10     aa <= 1;bb<=1;
    #10     $stop;
end
endmodule

在这里插入图片描述
在这里插入图片描述

异或门

异或门可以直接用^,我写复杂了

//异或门设计
module exclusive_OR_gate(
         A,
         B,
         Y
    );
input   A;
input   B;
output  Y;
assign  Y = (A & ~B) | (~A & B);
endmodule
module test(
    );
reg     aa;
reg     bb;
wire    yy;
exclusive_OR_gate f(.A(aa),.B(bb),.Y(yy));
initial begin   //  按时间定义变量的值
            aa <= 0;bb<=0;
    #10     aa <= 0;bb<=1;    //时间过10个单位
    #10     aa <= 1;bb<=0;
    #10     aa <= 1;bb<=1;
    #10     $stop;
end
endmodule

在这里插入图片描述
在这里插入图片描述

module exclusive_OR_gate(
         A,
         B,
         Y
    );
input   A;
input   B;
output  Y;
assign  Y = A ^ B;
endmodule

在这里插入图片描述

同或门

module not_exclusive_OR_gate(
         A,
         B,
         Y
    );
input   A;
input   B;
output  Y;
assign  Y = A ~^ B;
endmodule

在这里插入图片描述

4位与非门设计

在这里插入图片描述

//4位与非门设计
module nand_gate_4bits(
         A,
         B,
         Y
    );
input[3:0]   A;
input[3:0]   B;
output[3:0]  Y;
assign  Y = ~(A & B);
endmodule
module test(
    );
reg[3:0]     aa;
reg[3:0]     bb;
wire[3:0]    yy;
nand_gate_4bits f(.A(aa),.B(bb),.Y(yy));
initial begin   //  按时间定义变量的值
            aa <= 4'b0000;bb<= 4'b1111;
    #10     aa <= 4'b0010;bb<= 4'b0110;    //时间过10个单位
    #10     aa <= 4'b0111;bb<= 4'b0100;
    #10     aa <= 4'b0000;bb<= 4'b1110;
    #10     $stop;
end
endmodule

在这里插入图片描述
在这里插入图片描述

在这里插入图片描述

步骤

实现功能的模块:
首先确定端口的数量,在模块内部确定端口是输入端口,还是输出端口
确定端口的位宽,确定端口以及信号的数据类型,默认是wire型
实现功能。

Test模块:
确定信号的数据类型、位宽。
调用功能模块,
改变信号值。

两个十六位相加,计算结果

module add(x,y,result);
input[15:0] x,y;
output[15:0] result;

assign result = x + y;
module test(
    );
reg[15:0] x,y;
wire[15:0] result;

add f(.x(x),.y(y),.result(result));
initial begin
    x <= 16'd23;y <= 16'd45;
#10   x <= 16'd12;y <= 16'd67;
#10   $stop;
end
endmodule

在这里插入图片描述
在这里插入图片描述
verilog 中 wire 和reg 的使用

  • 17
    点赞
  • 140
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
我注意到你引用了一些与verilog逻辑电路相关的内容。根据你提供的引用内容,我可以解释一些基本的逻辑电路代码。 首先,你引用的中提到了一些基本的组合逻辑电路,如计数器、触发器、锁存器和分频器。这些电路在组合逻辑电路中起到重要的作用。 其次,中的引用展示了移位寄存器的代码示例。这里提供了8位左移移位寄存器(shiftleft_reg)和8位右移移位寄存器(shiftright_reg)的代码。 中的引用讨论了同步器(Synchronizer)的设计代码。同步器用于将输入数据与所需的时钟同步,特别适用于跨时钟处理。 根据你的问题,我无法给出完整的Verilog逻辑电路代码,因为你提供的引用只包含部分代码片段。但是,我可以给你提供一些常见的Verilog逻辑电路代码的示例: 1. 2输入AND门的代码示例: ```verilog module and_gate(input a, input b, output y); assign y = a & b; endmodule ``` 2. 2输入OR门的代码示例: ```verilog module or_gate(input a, input b, output y); assign y = a | b; endmodule ``` 3. 2输入XOR门的代码示例: ```verilog module xor_gate(input a, input b, output y); assign y = a ^ b; endmodule ``` 这些是一些基本Verilog逻辑电路代码示例,可以帮助你开始理解和设计逻辑电路。请注意,在实际设计中,你可能需要更复杂的逻辑电路和组合逻辑的代码。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [verilog之时序逻辑电路(附代码)](https://blog.csdn.net/haojie_duan/article/details/110436749)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值