FPGA实战篇(一)

FPGA基础程序学习

第一节 点亮LED

自动闪烁程序逻辑

端口设计

信号名 方向 管脚 端口 电平标准
sys_clk input U18 时钟,50MHz LVCMOS33
sys_rst_n input J15 复位键,低有效 LVCMOS33
led[0] output J18 PL_LED0 LVCMOS33
led[1] output H18 PL_LED1 LVCMOS33

XDC约束

在这里插入图片描述
在这里插入图片描述

在这里插入图片描述

按键LED闪烁

信号名 方向 管脚 端口 电平标准
clk input U18 时钟,50MHz LVCMOS33
rst input J15 复位键,低有效 LVCMOS33
led[0] output J18 PL_LED0 LVCMOS33
led[1] output H18 PL_LED1 LVCMOS33
key[0] input L20 PL 按键 0 LVCMOS33
key[1] input J20 PL 按键 1 LVCMOS33

XDC约束

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值